Modeling of THz Chip-to-Chip Wireless Channels in Metal Enclosures

In this paper, we consider chip-to-chip communication such as processor to memory link where the motherboard is placed in a casing similar to a desktop or a laptop. At THz frequencies, the dimensions of the casing are large enough that signal may reflect from the objects inside the box and the sides of the box creating resonant cavity effect. To model propagation in such an environment, we model casing as overmoded cavity and consider other objects in the casing as conductive objects. We propose a geometry-based statistical propagation model that describes chip-to-chip propagation in metal enclosures filled with conductive objects. Based on the geometrical model, a simulation model for multipath fading in this cavity is developed and correlation function is derived. The simulation results show that multiple reflections created in the resonant cavity significantly impact correlation function and power delay profile and need careful consideration when modeling chip-to-chip propagation in metal enclosures.

[1]  David J. Edwards,et al.  Experimental evaluation of UWB wireless communication within PC case , 2011 .

[2]  R. H. Havemann,et al.  High-performance interconnects: an integration overview , 2001, Proc. IEEE.

[3]  Zhi Ming Chen,et al.  Inter-Chip Wireless Communication Channel: Measurement, Characterization, and Modeling , 2007, IEEE Transactions on Antennas and Propagation.

[4]  Duixian Liu,et al.  Single-element and phased-array transceiver chipsets for 60-ghz Gb/s communications , 2011, IEEE Communications Magazine.

[5]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[6]  Ravi Prakash,et al.  Wireless Data Center with Millimeter Wave Network , 2010, 2010 IEEE Global Telecommunications Conference GLOBECOM 2010.

[7]  Alenka Zajic,et al.  300 GHz path loss measurements on a computer motherboard , 2016, 2016 10th European Conference on Antennas and Propagation (EuCAP).

[8]  Kaustav Banerjee,et al.  Performance analysis of carbon nanotube interconnects for VLSI applications , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[9]  D.H. Albonesi,et al.  On-Chip Copper-Based vs. Optical Interconnects: Delay Uncertainty, Latency, Power, and Bandwidth Density Comparative Predictions , 2006, 2006 International Interconnect Technology Conference.

[10]  Alenka Zajic,et al.  Mobile-to-Mobile Wireless Channels , 2012 .

[11]  W. A. Johnson,et al.  Ray-optical solution for the dyadic Green's function in a rectangular cavity , 1970 .

[12]  Alenka Zajic,et al.  Characterization of 300-GHz Wireless Channel on a Computer Motherboard , 2016, IEEE Transactions on Antennas and Propagation.

[13]  David A. Hill,et al.  Electromagnetic Fields in Cavities , 2009 .

[14]  A. Naeemi,et al.  On-Chip Interconnect Networks at the End of the Roadmap: Limits and Nanotechnology Opportunities , 2006, 2006 International Interconnect Technology Conference.

[15]  Thomas Kürner,et al.  A model for the reflection of terahertz signals from printed circuit board surfaces , 2018, International Journal of Microwave and Wireless Technologies.

[16]  D.A.B. Miller,et al.  Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.

[17]  A. Fricke,et al.  Reflection and transmission properties of plastic materials at THz frequencies , 2013, 2013 38th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz).

[18]  Chih-Ming Hung,et al.  Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters , 2002, IEEE J. Solid State Circuits.

[19]  David W. Matolak,et al.  Channel modeling for wireless networks-on-chips , 2013, IEEE Communications Magazine.