A Reliability Enhanced Address Mapping Strategy for Three-Dimensional (3-D) NAND Flash Memory

The linear scaling down of NAND flash memory is approaching its physical, electrical, and reliability limitations. To maintain the current trend of increasing bit density and reducing bit per cost, 3-D flash memory is emerging as a viable solution to fulfill the ever-increasing demands of storage capacity. In 3-D NAND flash memory, multiple layers are stacked to provide ultrahigh density storage devices. However, the physical architecture of 3-D flash memory leads to a higher probability of disturbance to adjacent physical pages and greatly increases bit error rates. This paper presents a novel physical-location-aware address mapping strategy for 3-D NAND flash memory. It permutes the physical mapping of pages and maximizes the distance between the consecutively logical pages, which can significantly reduce the disturbance to adjacent physical pages and effectively enhance the reliability. The proposed mapping strategy is applied to a representative flash storage system. Experimental results show that the proposed scheme can reduce uncorrectable page errors by 70.16% with less than 10.01% space overhead in comparison with the baseline scheme.

[1]  Haitao Liu,et al.  A Program Disturb Model and Channel Leakage Current Study for Sub-20 nm nand Flash Cells , 2011, IEEE Transactions on Electron Devices.

[2]  Nikil D. Dutt,et al.  3D-FlashMap: A physical-location-aware block mapping strategy for 3D NAND flash memory , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  Dong Woo Kim,et al.  Vertical cell array using TCAT(Terabit Cell Array Transistor) technology for ultra high density NAND flash memory , 2006, 2009 Symposium on VLSI Technology.

[4]  Heeseung Jo,et al.  Superblock FTL: A superblock-based flash translation layer with a hybrid address translation scheme , 2010, TECS.

[5]  Zili Shao,et al.  MNFTL: An efficient flash translation layer for MLC NAND flash memory storage systems , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Paul H. Siegel,et al.  Characterizing flash memory: Anomalies, observations, and applications , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[7]  Eun-Seok Choi,et al.  A Novel 3D Cell Array Architecture for Terra-Bit NAND Flash Memory , 2011, 2011 3rd IEEE International Memory Workshop (IMW).

[8]  Shinsugita-cho Isogo-ku,et al.  Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory , 2007 .

[9]  Y. Iwata,et al.  Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices , 2006, 2009 Symposium on VLSI Technology.

[10]  Chih-Yuan Lu,et al.  A critical examination of 3D stackable NAND Flash memory architectures by simulation study of the scaling capability , 2010, 2010 IEEE International Memory Workshop.

[11]  Ethan L. Miller,et al.  Adding aggressive error correction to a high-performance compressing flash file system , 2009, EMSOFT '09.

[12]  Tei-Wei Kuo,et al.  A file-system-aware FTL design for flash-memory storage systems , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[13]  Tei-Wei Kuo,et al.  A version-based strategy for reliability enhancement of flash file systems , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[14]  David Woodhouse,et al.  JFFS : The Journalling Flash File System , 2001 .

[15]  K. Takeuchi,et al.  Control Gate Length, Spacing and Stacked Layer Number Design for 3D-Stackable NAND Flash Memory , 2012, 2012 4th IEEE International Memory Workshop.

[16]  Shih-Hung Chen,et al.  A highly scalable vertical gate (VG) 3D NAND Flash with robust program disturb immunity using a novel PN diode decoding structure , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[17]  Qiaosha Zou,et al.  3DHLS: Incorporating high-level synthesis in physical planning of three-dimensional (3D) ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[18]  Yuan Xie,et al.  Exploring the vulnerability of CMPs to soft errors with 3D stacked non-volatile memory , 2011, ICCD.

[19]  Meng-Fan Chang,et al.  3D stackable vertical-gate BE-SONOS NAND flash with layer-aware program-and-read schemes and wave-propagation fail-bit-detection against cross-layer process variations , 2013, 2013 Symposium on VLSI Circuits.

[20]  Sung Hoon Baek,et al.  A Hybrid Flash File System Based on NOR and NAND Flash Memories for Embedded Devices , 2008, IEEE Transactions on Computers.

[21]  Asim Kadav,et al.  Differential RAID: Rethinking RAID for SSD reliability , 2010, ACM Trans. Storage.

[22]  Zili Shao,et al.  An endurance-enhanced Flash Translation Layer via reuse for NAND flash memory storage systems , 2011, 2011 Design, Automation & Test in Europe.

[23]  Chilhee Chung,et al.  Highly reliable vertical NAND technology with biconcave shaped storage layer and leakage controllable offset structure , 2010, 2010 Symposium on VLSI Technology.

[24]  Sung Min Kim,et al.  A stacked memory device on logic 3D technology for ultra-high-density data storage , 2011, Nanotechnology.

[25]  S. Aritome,et al.  Novel 3-dimensional Dual Control-gate with Surrounding Floating-gate (DC-SF) NAND flash cell for 1Tb file storage application , 2010, 2010 International Electron Devices Meeting.

[26]  Nikil D. Dutt,et al.  Meta-Cure: A reliability enhancement strategy for metadata in NAND flash memory storage systems , 2012, DAC Design Automation Conference 2012.

[27]  Tetsuo Endoh,et al.  A Novel 3-D Vertical FG NAND Flash Memory Cell Arrays Using the Separated Sidewall Control Gate (S-SCG) for Highly Reliable MLC Operation , 2011, 2011 3rd IEEE International Memory Workshop (IMW).

[28]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[29]  Tetsuo Endoh,et al.  The 3-dimensional vertical FG NAND flash memory cell arrays with the novel electrical S/D technique using the Extended Sidewall Control Gate (ESCG) , 2010, 2010 IEEE International Memory Workshop.

[30]  Yi He,et al.  Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation , 2010, Design Automation Conference.

[31]  Siyoung Choi,et al.  Novel Vertical-Stacked-Array-Transistor (VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD (Solid State Drive) , 2006, 2009 Symposium on VLSI Technology.

[32]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[33]  Aviral Shrivastava,et al.  FSAF: File system aware flash translation layer for NAND Flash Memories , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[34]  Chanik Park,et al.  A Re-configurable FTL (Flash Translation Layer) Architecture for NAND Flash based Applications , 2007, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07).

[35]  Jianhua Li,et al.  Cooperating Virtual Memory and Write Buffer Management for Flash-Based Storage Systems , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[36]  Chundong Wang,et al.  TreeFTL: Efficient RAM management for high performance of NAND flash-based storage systems , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[37]  Sang Lyul Min,et al.  A space-efficient flash translation layer for CompactFlash systems , 2002, IEEE Trans. Consumer Electron..