TIGUAN: Thread-Parallel Integrated Test Pattern Generator Utilizing Satisfiability ANalysis

We present the automatic test pattern generator TIGUAN based on a thread-parallel SAT solver. Due to a tight integration of the SAT engine into the ATPG algorithm and a carefully chosen mix of various optimization techniques, multi-million-gate industrial circuits are handled without aborts. TIGUAN supports both conventional single-stuck-at faults and sophisticated conditional multiple stuck-at faults which allows to generate patterns for non-standard fault models.

[1]  Nilanjan Mukherjee,et al.  Embedded deterministic test , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[3]  Irith Pomeranz,et al.  COMPACTEST: a method to generate compact test sets for combinational circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Chandra Tirumurti,et al.  On modeling crosstalk faults , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Naresh R. Shanbhag,et al.  Sequential Element Design With Built-In Soft Error Resilience , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Bernd Becker,et al.  PaMiraXT: Parallel SAT Solving with Threads and Message Passing , 2009, J. Satisf. Boolean Model. Comput..

[7]  Bernd Becker,et al.  SUPERB: Simulator Utilizing Parallel Evaluation of Resistive Bridges , 2007 .

[8]  Prabhakar Goel,et al.  An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits , 1981, IEEE Transactions on Computers.

[9]  Irith Pomeranz,et al.  Conflict driven techniques for improving deterministic test pattern generation , 2002, ICCAD 2002.

[10]  Bernd Becker,et al.  Extraction, Simulation and Test Generation for Interconnect Open Defects Based on Enhanced Aggressor-Victim Model , 2008, 2008 IEEE International Test Conference.

[11]  D. M. H. Walker,et al.  Resistive bridge fault modeling, simulation and test generation , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[12]  Florence Azaïs,et al.  Detection of Defects Using Fault Model Oriented Test Sequences , 1999, J. Electron. Test..

[13]  Janak H. Patel,et al.  New Techniques for Deterministic Test Pattern Generation , 1999, J. Electron. Test..

[14]  Janak H. Patel,et al.  Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[15]  Irith Pomeranz,et al.  Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Elizabeth M. Rudnick,et al.  Efficient Techniques for Dynamic Test Sequence Compaction , 1999, IEEE Trans. Computers.

[17]  Aiman H. El-Maleh,et al.  An efficient test relaxation technique for synchronous sequential circuits , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[19]  Terumine Hayashi,et al.  Faulty resistance sectioning technique for resistive bridging fault ATPG systems , 2001, Proceedings 10th Asian Test Symposium.

[20]  Bernd Becker,et al.  Automatic Test Pattern Generation for Resistive Bridging Faults , 2004, Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004..

[21]  J. Paul Roth,et al.  Diagnosis of automata failures: a calculus and a method , 1966 .

[22]  Bozena Kaminska,et al.  A new dynamic test vector compaction for automatic test pattern generation , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Edward J. McCluskey,et al.  Gate exhaustive testing , 2005, IEEE International Conference on Test, 2005..

[24]  Giovanni Squillero,et al.  RT-Level ITC'99 Benchmarks and First ATPG Results , 2000, IEEE Des. Test Comput..

[25]  Bernd Becker,et al.  SUPERB: Simulator Utilizing Parallel Evaluation of Resistive Bridges , 2007, 16th Asian Test Symposium (ATS 2007).

[26]  Bernd Becker,et al.  Multithreaded SAT Solving , 2007, 2007 Asia and South Pacific Design Automation Conference.

[27]  Hideo Fujiwara,et al.  Optimal granularity of test generation in a distributed system , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  Thomas Kropf,et al.  Introduction to Formal Hardware Verification , 1999, Springer Berlin Heidelberg.

[29]  Bernd Becker,et al.  Simulating Resistive-Bridging and Stuck-At Faults , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Rob Aitken New Defect Behavior at 130 nm and Beyond Emerging Ideas Contribution , Extended , 2004 .

[31]  R. D. Blanton,et al.  Universal test generation using fault tuples , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[32]  Robert K. Brayton,et al.  Combinational test generation using satisfiability , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[33]  Rolf Drechsler,et al.  Reusing Learned Information in SAT-based ATPG , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[34]  F. Brglez,et al.  A neutral netlists of 10 combinational circuits and a target translator in FORTRAN , 1985 .

[35]  Robert S. Swarz,et al.  Reliable Computer Systems: Design and Evaluation , 1992 .

[36]  Masahiro Takakura,et al.  A persistent diagnostic technique for unstable defects , 2002, Proceedings. International Test Conference.

[37]  Bernd Becker,et al.  Power Droop Testing , 2007, IEEE Design & Test of Computers.

[38]  R. Drechsler,et al.  Improving Test Pattern Compactness in SAT-based ATPG , 2007, 16th Asian Test Symposium (ATS 2007).

[39]  Paul Tafertshofer,et al.  SAT based ATPG using fast justification and propagation in the implication graph , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[40]  Hideo Fujiwara,et al.  SPIRIT: a highly robust combinational test generation algorithm , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[41]  Jack Dongarra,et al.  MPI: The Complete Reference , 1996 .

[42]  Tracy Larrabee Efficient generation of test patterns using Boolean difference , 1989, Proceedings. 'Meeting the Tests of Time'., International Test Conference.

[43]  Gordon L. Smith,et al.  Model for Delay Faults Based upon Paths , 1985, ITC.

[44]  Rolf Drechsler,et al.  On Acceleration of SAT-Based ATPG for Industrial Designs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[45]  Hilary Putnam,et al.  A Computing Procedure for Quantification Theory , 1960, JACM.

[46]  Kohei Miyase,et al.  On identifying don't care inputs of test patterns for combinational circuits , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).