Low Voltage Clock Tree Synthesis with Local Gate Clusters

In this paper, a novel local clock gate cluster-aware low voltage clock tree synthesis methodology is introduced. In low voltage/swing clocking, timing closure is a challenging problem due to tight skew and slew constraints. The clock gating makes this problem more challenging due to the high delay mismatch between the gated and the non-gated sinks. The proposed methodology preserves the power savings of the clock gating and exploits low swing clocking to further reduce the power consumption, while maintaining the same skew and slew constraints as the full swing counterpart. Experimental results performed on the large circuits of ISCAS'89 benchmarks operating at 1.5GHz in the 45nm technology node demonstrate that the proposed methodology can provide 38% power savings as compared to a full swing gated clock tree, achieving an additional 12% savings as compared to a low swing non-gated clock tree.

[1]  Jiang Hu,et al.  An efficient merging scheme for prescribed skew clock routing , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Baris Taskin,et al.  A Novel Static D-Flip-Flop Topology for Low Swing Clocking , 2015, ACM Great Lakes Symposium on VLSI.

[3]  Sachin S. Sapatnekar,et al.  Low-power clock distribution using multiple voltages and reduced swings , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[4]  Baris Taskin,et al.  Exploiting useful skew in gated low voltage clock trees , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[5]  Baris Taskin,et al.  SLECTS: Slew-Driven Clock Tree Synthesis , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Baris Taskin,et al.  High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

[7]  Emre Salman,et al.  High Performance Integrated Circuit Design , 2012 .

[8]  Masato Edahiro,et al.  A Clustering-Based Optimization Algorithm in Zero-Skew Routings , 1993, 30th ACM/IEEE Design Automation Conference.

[9]  Sung-Mo Kang,et al.  A low-swing clock double-edge triggered flip-flop , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[10]  Rung-Bin Lin,et al.  Clock gating optimization with delay-matching , 2011, 2011 Design, Automation & Test in Europe.

[11]  Manoj Sachdev,et al.  A low-power reduced swing global clocking methodology , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  M. Hafner,et al.  Modeling of salt and pH gradient elution in ion-exchange chromatography. , 2014, Journal of separation science.

[13]  Chih-Cheng Hsu,et al.  Power optimization for clock network with clock gate cloning and flip-flop merging , 2014, ISPD '14.

[14]  Baris Taskin,et al.  FinFET-Based Low-Swing Clocking , 2015, ACM J. Emerg. Technol. Comput. Syst..

[15]  Lawrence T. Pileggi,et al.  Clustering and load balancing for buffered clock tree synthesis , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

[16]  Baris Taskin,et al.  Design Methodology for Voltage-Scaled Clock Distribution Networks , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Baris Taskin,et al.  Clock Skew Scheduling in the Presence of Heavily Gated Clock Networks , 2015, ACM Great Lakes Symposium on VLSI.

[18]  Baris Taskin,et al.  Skew-bounded low swing clock tree optimization , 2013, GLSVLSI '13.

[19]  Jason Cong,et al.  Bounded-skew clock and Steiner routing , 1998, TODE.

[20]  Shih-Hsu Huang,et al.  Type-matching clock tree for zero skew clock gating , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[21]  Wing-Kai Chow,et al.  Fast Power- and Slew-Aware Gated Clock Tree Synthesis , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Yici Cai,et al.  An Effective Gated Clock Tree Design Based on Activity and Register Aware Placement , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[24]  Marek Patyra,et al.  Impact of Local Interconnects on Timing and Power in a High Performance Microprocessor , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.