Synchronous Ultra-High-Density 2RW Dual-Port 8T-SRAM With Circumvention of Simultaneous Common-Row-Access

We propose an access scheme for a synchronous dual- port (DP) SRAM that minimizes the 8T-DP-cell area and maintains cell stability. A priority row decoder circuit and shifted bit- line access scheme eliminates access conflict issues. Using 65 nm CMOS technology (hp90) with the proposed scheme, we fabricated 32 kB DP-SRAM macros. We obtained a 0.71 mum2 8T-DP-cell for which the cell size is only 1.44 times larger than a 6T-single-port (SP)- cell. The bit-density of the fabricated 32 kB DP-RAM macro is 667 kbit/mm2, which is 25% larger than a conventional 8T SRAM. The standby leakage is 27% less because of the small drive-NMOS transistor of the proposed 8T-DP-cell.

[1]  H. Pilo,et al.  An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2007, IEEE Journal of Solid-State Circuits.

[2]  Haruo Wakabayashi,et al.  A memory-based architecture for MPEG2 System protocol LSIs , 1996, Proceedings ED&TC European Design and Test Conference.

[3]  Atila Alvandpour,et al.  A 130-nm 6-GHz 256 /spl times/ 32 bit leakage-tolerant register file , 2002 .

[4]  K. Nii,et al.  A 90-nm low-power 32-kB embedded SRAM with gate leakage suppression circuit for mobile applications , 2004, IEEE Journal of Solid-State Circuits.

[5]  N. Vallepalli,et al.  A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.

[6]  K. Ishibashi,et al.  A 65 nm Ultra-High-Density Dual-Port SRAM with 0.71um/sup ~/ 8T-Cell for SoC , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[7]  P. Pirsch,et al.  An SoC with two multimedia DSPs and a RISC core for video compression applications , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[8]  T. Yamamoto,et al.  Homogenous Dual-Processor core with Shared L1 Cache for Mobile Multimedia SoC , 2007, 2007 IEEE Symposium on VLSI Circuits.

[9]  Koji Nii,et al.  A 45nm Low-Standby-Power Embedded SRAM with Improved Immunity Against Process and Temperature Variations , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[10]  H. Yamauchi,et al.  A Stable 2-Port SRAM Cell Design Against Simultaneously Read/Write-Disturbed Accesses , 2008, IEEE Journal of Solid-State Circuits.

[11]  T. Iwasaki,et al.  A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment , 2008, 2008 IEEE Symposium on VLSI Circuits.

[12]  K. Nii,et al.  A 90nm dual-port SRAM with 2.04 /spl mu/m/sup 2/ 8T-thin cell using dynamically-controlled column bias scheme , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[13]  In-Cheol Park,et al.  A 80/20 MHz 160 mW multimedia processor integrated with embedded DRAM MPEG-4 accelerator and 3D rendering engine for mobile applications , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[14]  M. Yabuuchi,et al.  A 45 nm 2-port 8T-SRAM Using Hierarchical Replica Bitline Technique With Immunity From Simultaneous R/W Access Issues , 2008, IEEE Journal of Solid-State Circuits.

[15]  K. Ishibashi,et al.  A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits , 2007, IEEE Journal of Solid-State Circuits.

[16]  A. Suga,et al.  A 51.2 GOPS 1.0 GB/s-DMA single-chip multi-processor integrating quadruple 8-way VLIW processors , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[17]  N. Tzartzanis,et al.  A differential current-mode sensing method for high-noise-immunity, single-ended register files , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[18]  K. Nii,et al.  90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique , 2006, IEEE Journal of Solid-State Circuits.

[19]  Takao Nishitani,et al.  A microprogrammable real-time video signal processor (VSP) LSI , 1987 .

[20]  Suki Kim,et al.  The v2.0+EDR Bluetooth SOC architecture for multimedia , 2006, IEEE Transactions on Consumer Electronics.

[21]  Hiroyuki Yamauchi,et al.  A 45nm dual-port SRAM with write and read capability enhancement at low voltage , 2007, 2007 IEEE International SOC Conference.

[22]  Rajiv V. Joshi,et al.  A 500-MHz, 32-word/spl times/64-bit, eight-port self-resetting CMOS register file , 1999 .

[23]  Masahiko Yoshimoto,et al.  A sub-mW MPEG-4 motion estimation processor core for mobile video application , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[24]  Koji Nii,et al.  Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..