A 40 nm 512 kb Cross-Point 8 T Pipeline SRAM With Binary Word-Line Boosting Control, Ripple Bit-Line and Adaptive Data-Aware Write-Assist

This paper presents a cross-point 512 kb 8 T pipeline static random-access memory (SRAM). The cross-point structure eliminates write half-select disturb to facilitate bit-interleaving architecture for enhanced soft error immunity. The design employs boosted word-line (WL) for improving both read performance and write-ability. A ripple bit-line (RiBL) structure provides 30%-44% read access performance improvement and 2 ×-3.5 × variation immunity at 0.7 V compared with the conventional hierarchical bit-line (HiBL) schemes. An adaptive data-aware write-assist (ADAWA) with VCS tracking is employed to further enhance the write-ability while ensuring adequate stability for half-selected cells on the selected bit-lines. An adaptive voltage detector (AVD) with binary boosting control is used to mitigating gate electric over-stress. The design is implemented in UMC 40 nm low-power (40LP) CMOS technology. The 512 kb test chip operates from 1.5 V to 0.65 V, with maximum operation frequency of 800 MHz@1.1 V and 200 MHz@0.65 V. The measured power consumption is 0.5 mW/MHz (active) and 4.4 mW (standby) at 1.1 V, and 0.107 mW/MHz (active) and 0.367 mW (standby) at 0.65 V.

[1]  Benton H. Calhoun,et al.  Dynamic write limited minimum operating voltage for nanoscale SRAMs , 2011, 2011 Design, Automation & Test in Europe.

[2]  Yi-Wei Lin,et al.  A 55nm 0.5V 128Kb cross-point 8T SRAM with data-aware dynamic supply Write-assist , 2012, 2012 IEEE International SOC Conference.

[3]  Meng-Fan Chang,et al.  A Large $\sigma $V$_{\rm TH}$/VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme , 2011, IEEE Journal of Solid-State Circuits.

[4]  R.H. Dennard,et al.  An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.

[5]  Rajiv V. Joshi,et al.  A Novel Column-Decoupled 8T Cell for Low-Power Differential and Domino-Based SRAM Design , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Meng-Fan Chang,et al.  A large σVTH/VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme , 2010, 2010 Symposium on VLSI Circuits.

[7]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[8]  Shunsuke Okumura,et al.  A 0.56-V 128kb 10T SRAM using column line assist (CLA) scheme , 2009, 2009 10th International Symposium on Quality Electronic Design.

[9]  Yuen H. Chan,et al.  IBM POWER6 SRAM arrays , 2007, IBM J. Res. Dev..

[10]  O. Takahashi,et al.  Implementation of the Cell Broadband Engine™ in 65 nm SOI Technology Featuring Dual Power Supply SRAM Arrays Supporting 6 GHz at 1.3 V , 2008, IEEE Journal of Solid-State Circuits.

[11]  Carl Radens,et al.  A 64 Mb SRAM in 32 nm High-k Metal-Gate SOI Technology With 0.7 V Operation Enabled by Stability, Write-Ability and Read-Ability Enhancements , 2011, IEEE Journal of Solid-State Circuits.

[12]  S. Shimada,et al.  Low-power embedded SRAM modules with expanded margins for writing , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[13]  Kaushik Roy,et al.  Ultralow-Voltage Process-Variation-Tolerant Schmitt-Trigger-Based SRAM Design , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Jiajing Wang,et al.  Impact of circuit assist methods on margin and performance in 6T SRAM , 2010 .

[15]  Zheng Guo,et al.  A 4.6 GHz 162 Mb SRAM Design in 22 nm Tri-Gate CMOS Technology With Integrated Read and Write Assist Circuitry , 2013, IEEE Journal of Solid-State Circuits.

[16]  Ching-Te Chuang,et al.  SRAM Write-Ability Improvement With Transient Negative Bit-Line Voltage , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Chien-Yu Lu,et al.  A 0.33-V, 500-kHz, 3.94-$\mu\hbox{W}$ 40-nm 72-Kb 9T Subthreshold SRAM With Ripple Bit-Line Structure and Negative Bit-Line Write-Assist , 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

[18]  Meng-Fan Chang,et al.  A Differential Data-Aware Power-Supplied (D$^{2}$AP) 8T SRAM Cell With Expanded Write/Read Stabilities for Lower VDDmin Applications , 2009, IEEE Journal of Solid-State Circuits.

[19]  Meng-Fan Chang,et al.  A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications , 2009, 2009 Symposium on VLSI Circuits.