A novel layout decomposition algorithm for triple patterning lithography

While double patterning lithography (DPL) has been widely recognized as one of the most promising solutions for the sub-22nm technology node to enhance pattern printability, triple patterning lithography (TPL) will be required for gate, contact, and metal-1 layers which are too complex and dense to be split into only two masks, for the 15nm technology node and beyond. Nevertheless, there is very little research focusing on the layout decomposition for TPL. The recent work [16] proposed the first systematic study on the layout decomposition for TPL. However, the proposed algorithm extending a stitch-finding method used in DPL may miss legal stitch locations and generate conflicts that can be resolved by inserting stitches for TPL. In this paper, we point out two main differences between DPL and TPL layout decompositions. Based on the two differences, we propose a novel TPL layout decomposition algorithm. We first present two new graph reduction techniques to reduce the problem size without degrading overall solution quality. We then propose a stitch-aware mask assignment algorithm, based on a heuristic that finds a mask assignment such that the conflicts among the features in the same mask are more likely to be resolved by inserting stitches. Finally, stitches are inserted to resolve as many conflicts as possible. Experimental results show that the proposed layout decomposition algorithm can achieve around 56% reduction of conflicts and more than 40X speed-up compared to the previous work.

[1]  Kun Yuan,et al.  Layout Decomposition for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Christopher Cork,et al.  Comparison of triple-patterning decomposition algorithms using aperiodic tiling patterns , 2008, Photomask Japan.

[3]  Cecilia R. Aragon,et al.  Optimization by Simulated Annealing: An Experimental Evaluation; Part II, Graph Coloring and Number Partitioning , 1991, Oper. Res..

[4]  Xin-She Yang,et al.  Introduction to Algorithms , 2021, Nature-Inspired Optimization Algorithms.

[5]  Evangeline F. Y. Young,et al.  An efficient layout decomposition approach for Triple Patterning Lithography , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Vincent Wiaux,et al.  Double pattern EDA solutions for 32nm HP and beyond , 2007, SPIE Advanced Lithography.

[7]  Frank Thomson Leighton,et al.  A Graph Coloring Algorithm for Large Scheduling Problems. , 1979, Journal of research of the National Bureau of Standards.

[8]  Kathryn Fraughnaugh,et al.  Introduction to graph theory , 1973, Mathematical Gazette.

[9]  Ronald L. Rivest,et al.  Introduction to Algorithms, third edition , 2009 .

[10]  Robert E. Tarjan,et al.  Depth-First Search and Linear Graph Algorithms , 1972, SIAM J. Comput..

[11]  Nathan Linial,et al.  On the Hardness of Approximating the Chromatic Number , 2000, Comb..

[12]  Yao-Wen Chang,et al.  A Novel Layout Decomposition Algorithm for Triple Patterning Lithography , 2014, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  David S. Johnson,et al.  Some simplified NP-complete problems , 1974, STOC '74.

[14]  J. Andres Torres,et al.  A Designer's Guide to Subresolution Lithography: Enabling the Impossible to Get to the 14-nm Node [Tutorial] , 2013, IEEE Design & Test.

[15]  Yung H. Tsin A Simple 3-Edge-Connected Component Algorithm , 2005, Theory of Computing Systems.

[16]  Andrew B. Kahng,et al.  Layout Decomposition Approaches for Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.