Power Optimization and Prediction Techniques for FPGAs
暂无分享,去创建一个
[1] Radu Marculescu,et al. Probabilistic modeling of dependencies during switching activity analysis , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[2] J. Cong,et al. Interconnect design for deep submicron ICs , 1997, ICCAD 1997.
[3] Kaustav Banerjee,et al. Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era , 2004, Proceedings. 41st Design Automation Conference, 2004..
[4] A. Sedra. Microelectronic circuits , 1982 .
[5] Jason Cong,et al. Register binding and port assignment for multiplexer optimization , 2004 .
[6] Chua-Chin Wang,et al. Low power technology mapping by hiding high-transition paths in invisible edges for LUT-based FPGAs , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.
[7] Vaughn Betz,et al. Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density , 1999, FPGA '99.
[8] Kia Bazargan,et al. Timing-driven partitioning-based placement for island style FPGAs , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[9] David Blaauw,et al. Gate oxide leakage current analysis and reduction for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] Deming Chen,et al. Low-power high-level synthesis for FPGA architectures , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[11] Dennis Sylvester,et al. Tradeoffs between gate oxide leakage and delay for dual T/sub ox/ circuits , 2004, Proceedings. 41st Design Automation Conference, 2004..
[12] Jonathan Rose,et al. The effect of logic block complexity on area of programmable gate arrays , 1989, 1989 Proceedings of the IEEE Custom Integrated Circuits Conference.
[13] Farid N. Najm,et al. Design techniques for gate-leakage reduction in CMOS circuits , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..
[14] Jason Helge Anderson,et al. A novel low-power FPGA routing switch , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
[15] Jason Cong,et al. Cut ranking and pruning: enabling a general and efficient FPGA mapping solution , 1999, FPGA '99.
[16] T. Ghani,et al. Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
[17] Mohamed I. Elmasry,et al. Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique , 2002, DAC '02.
[18] Kaushik Roy,et al. Estimating Circuit Activity in Combinational CMOS Digital Circuits , 2000, IEEE Des. Test Comput..
[19] Jonathan Rose,et al. Optimization of field-programmable gate array logic block architecture for speed , 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.
[20] Srinivas Katkoori,et al. LUT-based FPGA technology mapping for power minimization with optimal depth , 2001, Proceedings IEEE Computer Society Workshop on VLSI 2001. Emerging Technologies for VLSI Systems.
[21] Takayasu Sakurai. Minimizing power across multiple technology and design levels , 2002, ICCAD 2002.
[22] Vaughn Betz,et al. A fast routability-driven router for FPGAs , 1998, FPGA '98.
[23] Saibal Mukhopadhyay,et al. Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.
[24] Vivek Tiwari,et al. Topological analysis for leakage prediction of digital circuits , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
[25] Farid N. Najm,et al. A gate-level leakage power reduction method for ultra-low-power CMOS circuits , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.
[26] Jason Cong,et al. Low-power technology mapping for FPGA architectures with dual supply voltages , 2004, FPGA '04.
[27] B. Doyle. Poly Poly nitride Poly Poly Silicon Silicon Resist Silicon Silicon Silicon nitride Resist OxideOxide Oxide Oxide Silicon poly poly Oxide Oxide , 2002 .
[28] Kaushik Roy,et al. Dynamic V/sub TH/ scaling scheme for active leakage power reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[29] George Varghese,et al. The design of a low energy FPGA , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[30] Yong Liu,et al. Timing analysis of transistor stack for leakage power saving , 2002, 9th International Conference on Electronics, Circuits and Systems.
[31] Kaushik Roy,et al. Leakage in nano-scale technologies: mechanisms, impact and design considerations , 2004, Proceedings. 41st Design Automation Conference, 2004..
[32] Kia Bazargan,et al. Fast timing-driven partitioning-based placement for island style FPGAs , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[33] M. Hutton,et al. Adaptive delay estimation for partitioning-driven PLD placement , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[34] Qi Xiang,et al. Limits of gate-oxide scaling in nano-transistors , 2000, 2000 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.00CH37104).
[35] Shekhar Y. Borkar,et al. Design challenges of technology scaling , 1999, IEEE Micro.
[36] Vaughn Betz,et al. Directional bias and non-uniformity in FPGA global routing architectures , 1996, Proceedings of International Conference on Computer Aided Design.
[37] W. Donath. Wire length distribution for placements of computer logic , 1981 .
[38] Jonathan Rose,et al. The effect of LUT and cluster size on deep-submicron FPGA performance and density , 2004 .
[39] Vaughn Betz. Architecture and CAD for speed and area optimization of FPGAs , 1998 .
[40] Jonathan Rose,et al. Chortle-crf: fast technology mapping for lookup table-based FPGAs , 1991, 28th ACM/IEEE Design Automation Conference.
[41] Mahmut T. Kandemir,et al. Reducing leakage energy in FPGAs using region-constrained placement , 2004, FPGA '04.
[42] Jason Cong,et al. Architecture evaluation for power-efficient FPGAs , 2003, FPGA '03.
[43] Jonathan Rose,et al. Using multi-bit logic blocks and automated packing to improve field-programmable gate array density for implementing datapath circuits , 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).
[44] David Blaauw,et al. Static leakage reduction through simultaneous threshold voltage and state assignment , 2003, DAC '03.
[45] P. Ruiz-de-Clavijo,et al. Switching activity evaluation of CMOS digital circuits using logic timing simulation , 2001 .
[46] Guy Lemieux,et al. Circuit design of routing switches , 2002, FPGA '02.
[47] E. A. Amerasekera,et al. Failure Mechanisms in Semiconductor Devices , 1987 .
[48] Carl Ebeling,et al. PathFinder: A Negotiation-Based Performance-Driven Router for FPGAs , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.
[49] Gary K. Yeap,et al. Practical Low Power Digital VLSI Design , 1997 .
[50] Shankar Krishnamoorthy,et al. Estimating routing congestion using probabilistic analysis , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[51] Peter M. Zeitzoff,et al. MOSFET scaling trends and challenges through the end of the roadmap , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
[52] Nozomu Togawa,et al. A Simultaneous Placement and Global Routing Algorithm for FPGAs with Power Optimization , 1999, J. Circuits Syst. Comput..
[53] Fei Li,et al. FPGA power reduction using configurable dual-Vdd , 2004, Proceedings. 41st Design Automation Conference, 2004..
[54] Jason Helge Anderson,et al. Switching activity analysis and pre-layout activity prediction for FPGAs , 2003, SLIP '03.
[55] Farzan Fallah,et al. Runtime mechanisms for leakage current reduction in CMOS VLSI circuits , 2002, Proceedings of the International Symposium on Low Power Electronics and Design.
[56] Jason Helge Anderson,et al. Run-Time-Conscious Automatic Timing-Driven FPGA Layout Synthesis , 2004, FPL.
[57] Vaughn Betz,et al. The stratixπ routing and logic architecture , 2003, FPGA '03.
[58] Farid N. Najm,et al. High-level area and power estimation for VLSI circuits , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[59] Fei Li,et al. Vdd programmability to reduce FPGA interconnect power , 2004, ICCAD 2004.
[60] David Blaauw,et al. Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..
[61] Martine D. F. Schlag,et al. Routability-driven technology mapping for lookup table-based FPGAs , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
[62] David G. Chinnery,et al. Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization , 2003, ISLPED '03.
[63] Vaughn Betz,et al. VPR: A new packing, placement and routing tool for FPGA research , 1997, FPL.
[64] Anantha Chandrakasan,et al. Design methodology for fine-grained leakage control in MTCMOS , 2003, ISLPED '03.
[65] Farid N. Najm,et al. Towards a high-level power estimation capability , 1995, ISLPED '95.
[66] Jonathan Rose,et al. Technology mapping of lookup table-based FPGAs for performance , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
[67] Jan M. Rabaey,et al. Low-Energy FPGAs - Architecture and Design , 2001 .
[68] R. L. Wright,et al. Improved switching activity estimation for behavioral and gate level designs , 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).
[69] David M. Lewis,et al. Routing architectures for hierarchical field programmable gate arrays , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[70] Farid N. Najm,et al. Power estimation techniques for FPGAs , 2004 .
[71] Trevor Mudge,et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.
[72] Steven J. E. Wilton,et al. SMAP: heterogeneous technology mapping for area reduction in FPGAs with embedded memory arrays , 1998, FPGA '98.
[73] Jason Cong,et al. FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[74] Mahmut T. Kandemir,et al. A Dual-VDD Low Power FPGA Architecture , 2004, FPL.
[75] Malgorzata Marek-Sadowska,et al. Efficient circuit clustering for area and power reduction in FPGAs , 2002, TODE.
[76] Vaughn Betz,et al. Timing-driven placement for FPGAs , 2000, FPGA '00.
[77] Farid N. Najm,et al. A survey of power estimation techniques in VLSI circuits , 1994, IEEE Trans. Very Large Scale Integr. Syst..
[78] Wayne Luk,et al. The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays , 2004, FPL.
[79] Anantha P. Chandrakasan,et al. Low-power CMOS digital design , 1992 .
[80] David J. Frank,et al. Power-constrained CMOS scaling limits , 2002, IBM J. Res. Dev..
[81] Jason Cong,et al. DAG-Map: graph-based FPGA technology mapping for delay optimization , 1992, IEEE Design & Test of Computers.
[82] Farid N. Najm,et al. An asymmetric SRAM cell to lower gate leakage , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[83] Jan-Min Hwang,et al. A re-engineering approach to low power FPGA design using SPFD , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[84] Anantha Chandrakasan,et al. Scaling of stack effect and its application for leakage reduction , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
[85] Mary Jane Irwin,et al. Accurate Estimation of Combinational Circuit Activity , 1995, 32nd Design Automation Conference.
[86] Farid N. Najm,et al. Interconnect capacitance estimation for FPGAs , 2004 .
[87] Martine D. F. Schlag,et al. Routability-driven technology mapping for lookup table-based FPGA's , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[88] Fabio Somenzi,et al. Power and delay reduction via simultaneous logic and placement optimization in FPGAs , 2000, DATE '00.
[89] Sarma B. K. Vrudhula,et al. Algorithms for minimizing standby power in deep submicrometer, dual-Vt CMOS circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[90] Jim Park,et al. Interconnect enhancements for a high-speed PLD architecture , 2002, FPGA '02.
[91] Jason Cong,et al. On Area/Depth Trade-off in LUT-Based FPGA Technology Mapping , 1993, 30th ACM/IEEE Design Automation Conference.
[92] Jan M. Rabaey,et al. Low-energy embedded FPGA structures , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[93] John Lillis,et al. On interactions between routing and detailed placement , 2004, ICCAD 2004.
[94] Vaughn Betz,et al. Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.
[95] Anthony J. Yu,et al. Directional and single-driver wires in FPGA interconnect , 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).
[96] Steven J. E. Wilton,et al. A Flexible Power Model for FPGAs , 2002, FPL.
[97] K. K. Poon,et al. Power estimation for field programmable gate arrays , 2002 .
[98] Vaughn Betz,et al. FPGA routing architecture: segmentation and buffering to optimize speed and density , 1999, FPGA '99.
[99] Srinivas Devadas,et al. Retiming sequential circuits for low power , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).
[100] Kouichi Kumagai,et al. A novel powering-down scheme for low Vt CMOS circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[101] John M. Cohn,et al. There is life left in ASICs , 2003, ISPD '03.
[102] Sung-Mo Kang,et al. An empirical model for accurate estimation of routing delay in FPGAs , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[103] Tim Tuan,et al. Active leakage power optimization for FPGAs , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[104] Jonathan Rose,et al. A detailed router for field-programmable gate arrays , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.
[105] Kaushik Roy,et al. Statistical estimation of combinational and sequential CMOS digital circuit activity considering uncertainty of gate delays , 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.
[106] Andrea Lodi,et al. Low leakage circuit design for FPGAs , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
[107] Jason Helge Anderson,et al. Power-aware technology mapping for LUT-based FPGAs , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..
[108] Jonathan Rose,et al. Chortle: a technology mapping program for lookup table-based field programmable gate arrays , 1990, 27th ACM/IEEE Design Automation Conference.
[109] James Kao,et al. Subthreshold leakage modeling and reduction techniques , 2002, ICCAD 2002.
[110] Edward J. Nowak,et al. Maintaining the benefits of CMOS scaling when scaling bogs down , 2002, IBM J. Res. Dev..
[111] Majid Sarrafzadeh,et al. FPGA Technology Mapping for Power Minimization , 1994, FPL.
[112] Kaushik Roy,et al. A forward body-biased low-leakage SRAM cache: device and architecture considerations , 2003, ISLPED '03.
[113] Farid N. Najm,et al. Pre-layout estimation of individual wire lengths , 2000, SLIP '00.
[114] Bo-Cheng Lai,et al. Leakage power analysis of a 90nm FPGA , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[115] Vaughn Betz,et al. Cluster-based logic blocks for FPGAs: area-efficiency vs. input sharing and size , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.
[116] Paul S. Zuchowski,et al. A hybrid ASIC and FPGA architecture , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
[117] Mark C. Johnson,et al. Leakage control with efficient use of transistor stacks in single threshold CMOS , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[118] TWO-WEEK Loan COpy,et al. University of California , 1886, The American journal of dental science.
[119] N. Kawabe,et al. Automated selective multi-threshold design for ultra-low standby applications , 2002, Proceedings of the International Symposium on Low Power Electronics and Design.
[120] Kenneth C. Smith,et al. Microelectronic circuits, 2nd ed. , 1987 .
[121] Kaushik Roy,et al. Dynamic VTH Scaling Scheme for Active Leakage Power Reduction , 2002, DATE.
[122] David Blaauw,et al. Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment , 2004, Proceedings. 41st Design Automation Conference, 2004..
[123] Yuan Taur,et al. CMOS design near the limit of scaling , 2002 .
[124] Stephen D. Brown,et al. Flexibility of interconnection structures for field-programmable gate arrays , 1991 .
[125] Anantha Chandrakasan,et al. Subthreshold leakage modeling and reduction techniques [IC CAD tools] , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
[126] Arifur Rahman,et al. Evaluation of low-leakage design techniques for field programmable gate arrays , 2004, FPGA '04.
[127] S. Thompson. MOS Scaling: Transistor Challenges for the 21st Century , 1998 .
[128] Farid N. Najm,et al. Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[129] Li Shang,et al. Dynamic power consumption in Virtex™-II FPGA family , 2002, FPGA '02.
[130] Kurt Keutzer,et al. On average power dissipation and random pattern testability of CMOS combinational logic networks , 1992, ICCAD.
[131] Lawrence T. Clark,et al. Standby power management for a 0.18/spl mu/m microprocessor , 2002 .
[132] Rajendran Panda,et al. Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[133] Jason Cong,et al. Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics , 2004, FPGA '04.