A Survey of Test and Reliability Solutions for Magnetic Random Access Memories
暂无分享,去创建一个
Mehdi B. Tahoori | Rajendra Bishnoi | Patrick Girard | Weisheng Zhao | Yuanqing Cheng | Arnaud Virazel | Weisheng Zhao | M. Tahoori | P. Girard | A. Virazel | Yuanqing Cheng | R. Bishnoi
[1] M. Hosomi,et al. A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[2] Jacques-Olivier Klein,et al. Design considerations and strategies for high-reliable STT-MRAM , 2011, Microelectron. Reliab..
[3] Kaushik Roy,et al. Device/circuit/architecture co-design of reliable STT-MRAM , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[4] Mehdi Baradaran Tahoori,et al. A cross-layer adaptive approach for performance and power optimization in STT-MRAM , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[5] Youtao Zhang,et al. Read Error Resilient MLC STT-MRAM Based Last Level Cache , 2017, 2017 IEEE International Conference on Computer Design (ICCD).
[6] Elena I. Vatajelu,et al. State of the art and challenges for test and reliability of emerging nonvolatile resistive memories , 2018, Int. J. Circuit Theory Appl..
[7] A. Fert,et al. Field-free switching of a perpendicular magnetic tunnel junction through the interplay of spin–orbit and spin-transfer torques , 2018, Nature Electronics.
[8] J. Tschanz,et al. Design Space Exploration of Typical STT MTJ Stacks in Memory Arrays in the Presence of Variability and Disturbances , 2011, IEEE Transactions on Electron Devices.
[9] G. Tshagharyan,et al. Variation-aware Fault Modeling and Test Generation for STT-MRAM , 2019, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS).
[10] Arnaud Virazel,et al. A Complete Resistive-Open Defect Analysis for Thermally Assisted Switching MRAMs , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[11] Said Hamdioui,et al. Testing Resistive Memories: Where are We and What is Missing? , 2018, 2018 IEEE International Test Conference (ITC).
[12] David Blaauw,et al. A 28NM Integrated True Random Number Generator Harvesting Entropy from MRAM , 2018, 2018 IEEE Symposium on VLSI Circuits.
[13] Saied N. Tehrani,et al. Comparison of oxidation methods for magnetic tunnel junction material , 2000 .
[14] Mehdi Baradaran Tahoori,et al. Reliable in-memory neuromorphic computing using spintronics , 2019, ASP-DAC.
[15] Cheng-Wen Wu,et al. RRAM-Based Neuromorphic Hardware Reliability Improvement by Self-Healing and Error Correction , 2018, 2018 IEEE International Test Conference in Asia (ITC-Asia).
[16] Krishnendu Chakrabarty,et al. Design of fault-tolerant neuromorphic computing systems , 2018, 2018 IEEE 23rd European Test Symposium (ETS).
[17] Youguang Zhang,et al. One-step majority-logic-decodable codes enable STT-MRAM for high speed working memories , 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).
[18] Andy Thomas,et al. Dielectric breakdown in Co–Fe–B/MgO/Co–Fe–B magnetic tunnel junction , 2008 .
[19] Yuan Xie,et al. An Adaptive 3T-3MTJ Memory Cell Design for STT-MRAM-Based LLCs , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[20] Pinaki Mazumder,et al. Learning in Memristor Crossbar-Based Spiking Neural Networks Through Modulation of Weight-Dependent Spike-Timing-Dependent Plasticity , 2018, IEEE Transactions on Nanotechnology.
[21] Kang L. Wang,et al. Switching of perpendicular magnetization by spin-orbit torques in the absence of external magnetic fields. , 2013, Nature nanotechnology.
[22] Hossein Asadi,et al. A System-Level Framework for Analytical and Empirical Reliability Exploration of STT-MRAM Caches , 2020, IEEE Transactions on Reliability.
[23] Dmitri E. Nikonov,et al. Spin-Orbit Logic with Magnetoelectric Nodes: A Scalable Charge Mediated Nonvolatile Spintronic Logic , 2015, 1512.05428.
[24] Weisheng Zhao,et al. Proposal of Toggle Spin Torques Magnetic RAM for Ultrafast Computing , 2019, IEEE Electron Device Letters.
[25] Anthony B. Kos,et al. Validity of the thermal activation model for spin-transfer torque switching in magnetic tunnel junctionsa) , 2011 .
[26] 박영수,et al. Resistance random access memory , 2011 .
[27] A. Fert,et al. Current-induced magnetization switching in atom-thick tungsten engineered perpendicular magnetic tunnel junctions with large tunnel magnetoresistance , 2017, Nature Communications.
[28] Aida Todri,et al. Quantitative evaluation of reliability and performance for STT-MRAM , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).
[29] Mehdi Baradaran Tahoori,et al. Evaluation of Hybrid Memory Technologies Using SOT-MRAM for On-Chip Cache Hierarchy , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] Arnaud Virazel,et al. Dynamic Compact Model of Self-Referenced Magnetic Tunnel Junction , 2014, IEEE Transactions on Electron Devices.
[31] Bella Bose,et al. Systematic Unidirectional Error-Detecting Codes , 1985, IEEE Transactions on Computers.
[32] Bernard Dieny,et al. Magnetoresistive Random Access Memory , 2016, Proceedings of the IEEE.
[33] M. Breitwisch. Phase Change Memory , 2008, 2008 International Interconnect Technology Conference.
[34] Tadaomi Daibou,et al. Unified understanding of both thermally assisted and precessional spin-transfer switching in perpendicularly magnetized giant magnetoresistive nanopillars , 2013 .
[35] Arnaud Virazel,et al. Coupling-based resistive-open defects in TAS-MRAM architectures , 2012, 2012 17th IEEE European Test Symposium (ETS).
[36] Mehdi Baradaran Tahoori,et al. Non-Volatile Non-Shadow flip-flop using Spin Orbit Torque for efficient normally-off computing , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[37] Amin Jadidi,et al. Exploring the Potential for Collaborative Data Compression and Hard-Error Tolerance in PCM Memories , 2017, 2017 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).
[38] Avik W. Ghosh,et al. A Quasi-Analytical Model for Energy-Delay-Reliability Tradeoff Studies During Write Operations in a Perpendicular STT-RAM Cell , 2012, IEEE Transactions on Electron Devices.
[39] Pritish Narayanan,et al. Neuromorphic computing using non-volatile memory , 2017 .
[40] Behtash Behin-Aein,et al. Numerical Fokker-Planck simulation of stochastic write error in spin torque switching with thermal noise , 2016, 2016 74th Annual Device Research Conference (DRC).
[41] Denny D. Tang,et al. Magnetic Memory: Fundamentals and Technology , 2010 .
[42] Víctor H. Champac,et al. Analysis of Bridge Defects in STT-MRAM Cells Under Process Variations and a Robust DFT Technique for Their Detection , 2018, VLSI-SoC.
[43] Zhaohao Wang,et al. Radiation-Hardening Techniques for Spin Orbit Torque-MRAM Peripheral Circuitry , 2018, IEEE Transactions on Magnetics.
[44] P. Freitas,et al. Tunneling hot spots and heating in magnetic tunnel junctions , 2004 .
[45] Youguang Zhang,et al. Addressing the Thermal Issues of STT-MRAM From Compact Modeling to Design Techniques , 2018, IEEE Transactions on Nanotechnology.
[46] Mehdi Baradaran Tahoori,et al. Read disturb fault detection in STT-MRAM , 2014, 2014 International Test Conference.
[47] Zhaohao Wang,et al. DFSTT-MRAM: Dual Functional STT-MRAM Cell Structure for Reliability Enhancement and 3-D MLC Functionality , 2014, IEEE Transactions on Magnetics.
[48] Arijit Raychowdhury,et al. Analysis of Defects and Variations in Embedded Spin Transfer Torque (STT) MRAM Arrays , 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[49] Yiran Chen,et al. Probabilistic design in spintronic memory and logic circuit , 2012, 17th Asia and South Pacific Design Automation Conference.
[50] Mehdi B. Tahoori,et al. Tolerating Retention Failures in Neuromorphic Fabric based on Emerging Resistive Memories , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).
[51] Patrick Girard,et al. DOVA: A Dynamic Overwriting Voltage Adjustment for STT-RAM L1 Cache , 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).
[52] Mehdi Baradaran Tahoori,et al. Leveraging Systematic Unidirectional Error-Detecting Codes for fast STT-MRAM cache , 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).
[53] Muhammad Imran,et al. Flipcy: Efficient Pattern Redistribution for Enhancing MLC PCM Reliability and Storage Density , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[54] Mehdi Baradaran Tahoori,et al. Architecting SOT-RAM Based GPU Register File , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[55] B. F. Cockburn. Tutorial on DRAM fault modeling and test pattern design , 1998, Proceedings. International Workshop on Memory Technology, Design and Testing (Cat. No.98TB100236).
[56] Jacques-Olivier Klein,et al. Spin-Transfer Torque Magnetic Memory as a Stochastic Memristive Synapse for Neuromorphic Systems , 2015, IEEE Transactions on Biomedical Circuits and Systems.
[57] Cheng-Wen Wu,et al. Write Disturbance Modeling and Testing for MRAM , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[58] Víctor H. Champac,et al. Robust Detection of Bridge Defects in STT-MRAM Cells Under Process Variations , 2018, 2018 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).
[59] Mehdi Baradaran Tahoori,et al. Process variation and temperature aware adaptive scrubbing for retention failures in STT-MRAM , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
[60] Jimmy J. Kan. Engineering of Metallic Multilayers and Spin Transfer Torque Devices , 2014 .
[61] Claude Chappert,et al. Dynamic compact model of Spin-Transfer Torque based Magnetic Tunnel Junction (MTJ) , 2009, 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era.
[62] Byong-Guk Park,et al. Effects of proton and ion beam radiation on magnetic tunnel junctions , 2019, Thin Solid Films.
[63] Cheng-Wen Wu,et al. Testing MRAM for Write Disturbance Fault , 2006, 2006 IEEE International Test Conference.
[64] Zhaohao Wang,et al. High-Density NAND-Like Spin Transfer Torque Memory With Spin Orbit Torque Erase Operation , 2018, IEEE Electron Device Letters.
[65] Mehdi B. Tahoori,et al. Design of Defect and Fault-Tolerant Nonvolatile Spintronic Flip-Flops , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[66] Avinoam Kolodny,et al. Memristor-Based Multilayer Neural Networks With Online Gradient Descent Training , 2015, IEEE Transactions on Neural Networks and Learning Systems.
[67] Mehdi Baradaran Tahoori,et al. Opportunistic write for fast and reliable STT-MRAM , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[68] C. Chappert,et al. Subnanosecond spin-transfer switching : Comparing the benefits of free-layer or pinned-layer biasing , 2007 .
[69] Arijit Raychowdhury,et al. Design space and scalability exploration of 1T-1STT MTJ memory arrays in the presence of variability and disturbances , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).
[70] Cheng-Wen Wu,et al. MRAM defect analysis and fault modeling , 2004, 2004 International Conferce on Test.
[71] Bernard Dieny,et al. Charge trapping-detrapping mechanism of barrier breakdown in MgO magnetic tunnel junctions , 2011 .
[72] Arijit Raychowdhury,et al. Test challenges in embedded STT-MRAM arrays , 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).
[73] Alexandre Valentian,et al. Resistive Memories for Spike-Based Neuromorphic Circuits , 2017, 2017 IEEE International Memory Workshop (IMW).
[74] Shimeng Yu,et al. Mitigating the Effect of Reliability Soft-errors of RRAM Devices on the Performance of RRAM-based Neuromorphic Systems , 2017, ACM Great Lakes Symposium on VLSI.
[75] Mehdi Baradaran Tahoori,et al. Parametric failure modeling and yield analysis for STT-MRAM , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[76] Jong-Ryul Jeong,et al. Field-free switching of perpendicular magnetization through spin-orbit torque in antiferromagnet/ferromagnet/oxide structures. , 2016, Nature nanotechnology.
[77] B. Li,et al. A time-dependent clustering model for non-uniform dielectric breakdown , 2013, 2013 IEEE International Electron Devices Meeting.
[78] Arijit Raychowdhury,et al. Modeling and analysis of read (RD) disturb in 1T-1STT MTJ memory bits , 2010, 68th Device Research Conference.
[79] K. Cai,et al. Polar Coding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM). , 2018, 2018 IEEE International Magnetic Conference (INTERMAG).
[80] P. Girard,et al. Embedded flash testing: overview and perspectives , 2006, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006..
[81] Weisheng Zhao,et al. Modulation of Heavy Metal/Ferromagnetic Metal Interface for High‐Performance Spintronic Devices , 2019, Advanced Electronic Materials.
[82] Hiroshi Nakamura,et al. 7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).
[83] Kui Cai,et al. Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory , 2017, IEEE Transactions on Magnetics.
[84] H. Ohno,et al. Tunnel magnetoresistance of 604% at 300K by suppression of Ta diffusion in CoFeB∕MgO∕CoFeB pseudo-spin-valves annealed at high temperature , 2008 .
[85] Simone Finizio,et al. Magnetic skyrmion artificial synapse for neuromorphic computing , 2019, ArXiv.
[86] B. Diény,et al. Thermally assisted MRAMs: ultimate scalability and logic functionalities , 2013 .
[87] Bernard Dieny,et al. Introduction to Magnetic Random-Access Memory , 2016 .
[88] Mehdi B. Tahoori,et al. Fast and Reliable STT-MRAM Using Nonuniform and Adaptive Error Detecting and Correcting Scheme , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[89] Zhe Zhang,et al. Memory module-level testing and error behaviors for phase change memory , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).
[90] Mehdi Baradaran Tahoori,et al. Spintronic normally-off heterogeneous system-on-chip design , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[91] Hossein Asadi,et al. Enhancing Reliability of STT-MRAM Caches by Eliminating Read Disturbance Accumulation , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[92] Yu Wang,et al. MErging the Interface: Power, area and accuracy co-optimization for RRAM crossbar-based mixed-signal computing system , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[93] Sophiane Senni. Exploration of non-volatile magnetic memory for processor architecture , 2015 .
[94] Tetsuo Endoh,et al. Memory reliability of spintronic materials and devices for disaster-resilient computing against radiation-induced bit flips on the ground , 2017 .
[95] Guillaume Prenat,et al. Non-volatile magnetic decoder based on MTJs , 2016 .
[96] Yan Zhou,et al. Skyrmion-Electronics: An Overview and Outlook , 2016, Proceedings of the IEEE.
[97] Bernard Dieny,et al. Dynamic compact model of thermally assisted switching magnetic tunnel junctions , 2009 .
[98] Brajesh Kumar Kaushik,et al. Highly reliable STT MRAM using fully depleted body and buried 4H-SiC NMOS , 2015, 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).
[99] Luigi Dilillo,et al. Impact of resistive-open defects on the heat current of TAS-MRAM architectures , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[100] Shaahin Angizi,et al. In-Memory Computing with Spintronic Devices , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[101] Arnaud Virazel,et al. Analysis of Resistive-Open Defects in TAS-MRAM Array , 2011, ITC 2011.
[102] Ian O'Connor,et al. Rebooting Computing: The Challenges for Test and Reliability , 2019, 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).
[103] Fei Wu,et al. Error behaviors testing with temperature and magnetism dependency for MRAM , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).
[104] Ali Sheikholeslami,et al. A Survey on Circuit Modeling of Spin-Transfer-Torque Magnetic Tunnel Junctions , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[105] Farooq Ahmad Khanday,et al. Resistive Random Access Memory (RRAM): an Overview of Materials, Switching Mechanism, Performance, Multilevel Cell (mlc) Storage, Modeling, and Applications , 2020, Nanoscale Research Letters.
[106] Shibing Long,et al. From dielectric failure to memory function: Learning from oxide breakdown for improved understanding of resistive switching memories , 2011, 2011 11th Annual Non-Volatile Memory Technology Symposium Proceeding.
[107] Jacques-Olivier Klein,et al. Failure and reliability analysis of STT-MRAM , 2012, Microelectron. Reliab..
[108] Yervant Zorian,et al. Defect injection, Fault Modeling and Test Algorithm Generation Methodology for STT-MRAM , 2018, 2018 IEEE International Test Conference (ITC).
[109] D. Stewart,et al. The missing memristor found , 2008, Nature.
[110] J. S. Yoon,et al. Reliability of 8Mbit Embedded-STT-MRAM in 28nm FDSOI Technology , 2019, 2019 IEEE International Reliability Physics Symposium (IRPS).
[111] H. Ohno,et al. Spintronics based random access memory: a review , 2017 .
[112] Arnaud Virazel,et al. Advanced test methods for SRAMs , 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).
[113] Kaushik Roy,et al. Probabilistic Deep Spiking Neural Systems Enabled by Magnetic Tunnel Junction , 2016, IEEE Transactions on Electron Devices.
[114] Jun Yang,et al. Mitigating Write Disturbance in Super-Dense Phase Change Memories , 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[115] Arijit Raychowdhury,et al. A Model Study of Defects and Faults in Embedded Spin Transfer Torque (STT) MRAM Arrays , 2015, 2015 IEEE 24th Asian Test Symposium (ATS).
[116] Nur A. Touba,et al. Online Correction of Hard Errors and Soft Errors via One-Step Decodable OLS Codes for Emerging Last Level Caches , 2019, 2019 IEEE Latin American Test Symposium (LATS).
[117] Zhaohao Wang,et al. A Novel High Performance and Energy Efficient NUCA Architecture for STT-MRAM LLCs With Thermal Consideration , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[118] Kartik Mohanram,et al. ADAM: Architecture for write disturbance mitigation in scaled phase change memory , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[119] Anand Raghunathan,et al. Computing in Memory With Spin-Transfer Torque Magnetic RAM , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[120] Jiayin Li,et al. WOM-Code Solutions for Low Latency and High Endurance in Phase Change Memory , 2016, IEEE Transactions on Computers.
[121] Mehdi B. Tahoori,et al. Improving Write Performance for STT-MRAM , 2016, IEEE Transactions on Magnetics.
[122] Arijit Raychowdhury. Pulsed READ in spin transfer torque (STT) memory bitcell for lower READ disturb , 2013, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).
[123] Ki-Su Lee,et al. Stress polarity dependence of breakdown characteristics in magnetic tunnel junctions , 2006 .
[124] Mehdi Baradaran Tahoori,et al. Ultra-Fast and High-Reliability SOT-MRAM: From Cache Replacement to Normally-Off Computing , 2016, IEEE Transactions on Multi-Scale Computing Systems.
[125] Zhaohao Wang,et al. Novel Radiation Hardening Read/Write Circuits Using Feedback Connections for Spin–Orbit Torque Magnetic Random Access Memory , 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.
[126] Youguang Zhang,et al. Reliability and performance evaluation for STT-MRAM under temperature variation , 2016, 2016 17th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE).
[127] Arijit Raychowdhury,et al. EMACS: Efficient MBIST architecture for test and characterization of STT-MRAM arrays , 2016, 2016 IEEE International Test Conference (ITC).
[128] Qian He,et al. Theory study and implementation of configurable ECC on RRAM memory , 2015, 2015 15th Non-Volatile Memory Technology Symposium (NVMTS).
[129] R. Sbiaa,et al. Parameter variation investigation of Magnetic Tunnel Junctions , 2012, 2012 Digest APMRC.
[130] Nanning Zheng,et al. Using Magnetic RAM to Build Low-Power and Soft Error-Resilient L1 Cache , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[131] Shibing Long,et al. A Model for the Set Statistics of RRAM Inspired in the Percolation Model of Oxide Breakdown , 2013, IEEE Electron Device Letters.
[132] Hamed Farbeh,et al. REACT: Read/Write Error Rate Aware Coding Technique for Emerging STT-MRAM Caches , 2019, IEEE Transactions on Magnetics.
[133] Aida Todri,et al. Temperature Impact Analysis and Access Reliability Enhancement for 1T1MTJ STT-RAM , 2016, IEEE Transactions on Reliability.
[134] Jaeyoung Park,et al. Variable-energy write STT-RAM architecture with bit-wise write-completion monitoring , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[135] Ioan Prejbeanu. Development of thermally assisted MRAMs: from basic concepts to industrialization , 2015 .
[136] Diana Tsvetanova,et al. SOT-MRAM 300MM Integration for Low Power and Ultrafast Embedded Memories , 2018, 2018 IEEE Symposium on VLSI Circuits.
[137] Elena I. Vatajelu,et al. Security primitives (PUF and TRNG) with STT-MRAM , 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).
[138] Seyed Ghassem Miremadi,et al. TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches , 2019, IEEE Transactions on Computers.
[139] Mehdi Baradaran Tahoori,et al. VAET-STT: A variation aware estimator tool for STT-MRAM based memories , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[140] Yiran Chen,et al. STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[141] Ying Wang,et al. Special Session – Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis , 2020, 2020 IEEE 38th VLSI Test Symposium (VTS).
[142] Mehdi Baradaran Tahoori,et al. Improving reliability, performance, and energy efficiency of STT-MRAM with dynamic write latency , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).
[143] B. Dieny,et al. Radiation Hardened MRAM-Based FPGA , 2013, IEEE Transactions on Magnetics.
[144] Mehdi B. Tahoori,et al. Defect Characterization and Test Generation for Spintronic-based Compute-In-Memory , 2020, 2020 IEEE European Test Symposium (ETS).
[145] Kaushik Roy,et al. Magnetic Tunnel Junction Based Long-Term Short-Term Stochastic Synapse for a Spiking Neural Network with On-Chip STDP Learning , 2016, Scientific Reports.
[146] Ying Wang,et al. Test and Reliability of Emerging Non-volatile Memories , 2017, 2017 IEEE 26th Asian Test Symposium (ATS).
[147] Saied Tehrani. Status and prospect for MRAM technology , 2010, 2010 IEEE Hot Chips 22 Symposium (HCS).
[148] Frederick T. Chen,et al. RRAM Defect Modeling and Failure Analysis Based on March Test and a Novel Squeeze-Search Scheme , 2015, IEEE Transactions on Computers.
[149] Yiran Chen,et al. Radiation-Induced Soft Error Analysis of STT-MRAM: A Device to Circuit Approach , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[150] Mehdi Baradaran Tahoori,et al. Low-power multi-port memory architecture based on Spin Orbit Torque magnetic devices , 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).
[151] Shaahin Angizi,et al. Exploring a SOT-MRAM Based In-Memory Computing for Data Processing , 2018, IEEE Transactions on Multi-Scale Computing Systems.
[152] Kaushik Roy,et al. A physical model to predict STT-MRAM performance degradation induced by TDDB , 2013, 71st Device Research Conference.
[153] Bernard Dieny,et al. Impact of Joule heating on the stability phase diagrams of perpendicular magnetic tunnel junctions , 2018, Physical Review B.
[154] Satoshi Takaya,et al. 7.5 A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[155] Pablo Ituero,et al. Reconfigurable Writing Architecture for Reliable RRAM Operation in Wide Temperature Ranges , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[156] L. Dilillo,et al. Impact of Resistive-Bridge Defects in TAS-MRAM Architectures , 2012, 2012 IEEE 21st Asian Test Symposium.
[157] Mehdi B. Tahoori,et al. A Comprehensive Framework for Parametric Failure Modeling and Yield Analysis of STT-MRAM , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.