Silicon Nanophotonic Network-on-Chip Using TDM Arbitration

Silicon nanophotonics is an emerging technology platform for offering high-bandwidth connectivity with extreme energy efficiency for future networks-on-chip. Using circuit-switching as an arbitration mechanism takes advantage of the low transmission energy in end-to-end communication and high bandwidth density of wave guides using WDM. However, pure circuit-switching requires an electronic control network which suffers from unfairness under heavy loads and can lead to high latencies, low network utilization, and an overhead in power dissipation. We propose time division multiplexed distributed arbitration, which provides round-robin fairness to setting up photonic circuit paths. Our design can supply 2-4× the bandwidth at network saturation for random traffic, and is an order of magnitude more efficient when simulated with scientific application traces compared to both electronic and other photonic network architectures.

[1]  Luca P. Carloni,et al.  PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[2]  Michal Lipson,et al.  Broadband hitless silicon electro-optic switch for on-chip optical networks. , 2009, Optics express.

[3]  M. Lipson,et al.  CMOS-compatible athermal silicon microring resonators. , 2009, Optics express.

[4]  M. Lipson,et al.  Integrated GHz silicon photonic interconnect with micrometer-scale modulators and detectors. , 2009, Optics express.

[5]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[6]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[7]  Leonid Oliker,et al.  Analysis of photonic networks for a chip multiprocessor using scientific applications , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[8]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[9]  M. Watts,et al.  Ultralow power silicon microdisk modulators and switches , 2008, 2008 5th IEEE International Conference on Group IV Photonics.

[10]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[11]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[12]  Luca P. Carloni,et al.  Design Exploration of Optical Interconnection Networks for Chip Multiprocessors , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[13]  Hermann Kopetz,et al.  Concepts of Switching in the Time-Triggered Network-on-Chip , 2008, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[14]  Axel Jantsch,et al.  TDM Virtual-Circuit Configuration for Network-on-Chip , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[16]  Martin Schoeberl,et al.  A Time-Triggered Network-on-Chip , 2007, 2007 International Conference on Field Programmable Logic and Applications.

[17]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[18]  Qianfan Xu,et al.  Cascaded silicon micro-ring modulators for WDM optical interconnection. , 2006, Optics express.

[19]  Pierpaolo Boffi,et al.  The ring-based optical Resonant Router , 2006, 2006 IEEE International Conference on Communications.

[20]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[21]  Leonid Oliker,et al.  Integrated performance monitoring of a cosmology application on leading HEC platforms , 2005, 2005 International Conference on Parallel Processing (ICPP'05).

[22]  Christer Svensson,et al.  Timing closure through a globally synchronous, timing partitioned design methodology , 2004, Proceedings. 41st Design Automation Conference, 2004..

[23]  Axel Jantsch,et al.  Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[24]  Uri C. Weiser,et al.  Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.

[25]  Kazumi Wada,et al.  On-chip optical clocking signal distribution , 2003 .

[26]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[27]  Z. Lin,et al.  Size scaling of turbulent transport in magnetically confined plasmas. , 2002, Physical review letters.

[28]  Lin-wang Wang,et al.  Parallel Empirical Pseudopotential Electronic Structure Calculations for Million Atom Systems , 2000 .

[29]  Rami G. Melhem,et al.  Distributed path reservation algorithms for multiplexed all-optical interconnection networks , 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.

[30]  Rami G. Melhem,et al.  Reducing communication latency with path multiplexing: in optically interconnected multiprocessor systems , 1995, Proceedings of 1995 1st IEEE Symposium on High Performance Computer Architecture.

[31]  Rami G. Melhem,et al.  Reconfiguration with Time Division Multiplexed MIN's for Multiprocessor , 1994, IEEE Trans. Parallel Distributed Syst..

[32]  David E. Goldberg,et al.  Genetic Algorithms, Tournament Selection, and the Effects of Noise , 1995, Complex Syst..

[33]  C. Qiao,et al.  Reconfiguration With Time Division Multiplexed MINs for Multiprocessor Communications , 1994 .