Decreasing latency considering power consumption issue in silicon interposer-based network-on-chip

Stacking technology is an approach to improve scalability of 2D network-on-chip systems. 3D stacking technology places multiple chips vertically, while silicon chips are stacked side-by-side on a silicon interposer layer in the 2.5D stacking technology. 2.5D stacking can solve many of the 3D stacking difficulties such as thermal problem. The cores in the processing element (PE) layer must have the ability to connect together. Moreover, the connection between the processing cores and the other chips is a critical issue that should be concerned. The memory chip is one of the most important chips, integrated with the many-core chip. The network-on-chip can be extended to the interposer layer to increase the usability of the interposer layer. It is essential to have an efficient topology and deadlock-free routing algorithm to handle operations effectively and decrease delay and power consumption. In this paper, a new topology called “Balanced Mesh” and a deadlock-free routing algorithm is recommended that balances fairly the connection between different Mesh-based segments of a network. Many of interposer network topologies such as ButterDonut increase the degree of intermediate routers in the interposer layer or nodes related to other chips. Many of them cannot be easily used in the PE layer to have a uniform system. The proposed topology can be simply applied to both of many-core layer and the interposer layer to decrease delay and power consumption without any change in the degree of nodes and has lesser number of links. Our proposed topology is compared with some other topologies such as concentrated Mesh(CMesh) and ButterDount. Simulation results show that our proposed topology can improve the system efficiency with lesser number of links. Using our proposed topology in both layers achieves 13% improvement in network latency compared with using Mesh in the PE layer and ButterDonut in the interposer layer. Also, it achieves 12% improvement in power consumption.

[1]  K. Saban Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity , Bandwidth , and Power Efficiency , 2009 .

[2]  Yvain Thonnart,et al.  Technology assessment of silicon interposers for manycore SoCs: Active, passive, or optical? , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[3]  Natalie D. Enright Jerger,et al.  Interconnect-Memory Challenges for Multi-chip, Silicon Interposer Systems , 2015, MEMSYS.

[4]  Wai-Kei Mak,et al.  Pin Assignment Optimization for Multi-2.5D FPGA-based Systems , 2018, ISPD.

[5]  Yuan Xie,et al.  Die Stacking Is Happening , 2018, IEEE Micro.

[6]  Masoud Daneshtalab,et al.  EbDa: A new theory on design and verification of deadlock-free interconnection networks , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

[7]  William J. Dally,et al.  Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.

[8]  Henry Hoffmann,et al.  On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.

[9]  Natalie D. Enright Jerger,et al.  NoC Architectures for Silicon Interposer Systems: Why Pay for more Wires when you Can Get them (from your interposer) for Free? , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

[10]  Yao-Wen Chang,et al.  Multiple chip planning for chip-interposer codesign , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[11]  E. Beyne,et al.  Analysis of the Induced Stresses in Silicon During Thermcompression Cu-Cu Bonding of Cu-Through-Vias in 3D-SIC Architecture , 2007, 2007 Proceedings 57th Electronic Components and Technology Conference.

[12]  Natalie D. Enright Jerger,et al.  Enabling interposer-based disintegration of multi-core processors , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[13]  Sung Kyu Lim,et al.  Architecture, Chip, and Package Co-design Flow for 2.5D IC Design Enabling Heterogeneous IP Reuse , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

[14]  W. Maly,et al.  2.5D system integration: a design driven system implementation schema , 2004 .

[15]  Paul K. Jo,et al.  Interconnection Technology Innovations in 2.5D Integrated Electronic Systems , 2019, Advances in Embedded and Fan-Out Wafer-Level Packaging Technologies.

[16]  Chongshen Song,et al.  A Scalable Network-on-Chip Microprocessor With 2.5D Integrated Memory and Accelerator , 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

[17]  Lu Wang,et al.  Overcoming and Analyzing the Bottleneck of Interposer Network in 2.5D NoC Architecture , 2016, ACA.

[18]  Patrick Dorsey Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency , 2010 .

[19]  Giovanni De Micheli,et al.  CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[20]  Lei Jiang,et al.  Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[21]  Yangdong Deng,et al.  2.5D system integration: a design driven system implementation schema , 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

[22]  Nan Jiang,et al.  A detailed and flexible cycle-accurate Network-on-Chip simulator , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[23]  Xian Xiao,et al.  Enabling Scalable Disintegrated Computing Systems With AWGR-Based 2.5D Interconnection Networks , 2019, IEEE/OSA Journal of Optical Communications and Networking.

[24]  Yangdong Deng,et al.  Interconnect characteristics of 2.5-D system integration scheme , 2001, ISPD '01.

[25]  Jason Cong,et al.  Thermal-Aware Physical Design Flow for 3-D ICs , 2006 .

[26]  Andrew B. Kahng,et al.  A Cross-Layer Methodology for Design and Optimization of Networks in 2.5D Systems , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[27]  Luca P. Carloni,et al.  Virtual channels vs. multiple physical networks: A comparative analysis , 2010, Design Automation Conference.

[28]  Jae-Seok Yang,et al.  Stress-driven 3D-IC placement with TSV keep-out zone and regularity study , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[29]  E. J. Vardaman,et al.  Developments in 2.5D: The role of silicon interposers , 2013, 2013 IEEE 15th Electronics Packaging Technology Conference (EPTC 2013).