A 12.8-Gb/s/link Tri-Modal Single-Ended Memory Interface

This paper presents a tri-modal asymmetric memory controller interface that achieves 12.8-Gbps single-ended (SE) signaling over 3" stripline FR4 traces. The controller can be configured to communicate with commercially available GDDR5 and DDR3 memories at 6.4 and 1.6 Gbps, respectively, with no package change. The interface is equipped with a compact voltage-mode driver with 1-tap pre-emphasis, in the WRITE direction, and a linear equalizer (LEQ) and 1-tap decision feedback equalizer (DFE), in the READ direction, to compensate for channel inter-symbol interference (ISI). The receiver front-end contains a supply noise tracking scheme to mitigate reference voltage (VREF) noise. A tri-VCO PLL and an efficient global clock distribution scheme support a wide range of operating frequencies at low power consumption. Finally, the interface also incorporates two overhead links per byte for data-bus encoding (DBE) experiments to mitigate simultaneous switching noise (SSN). Implemented in a 40-nm CMOS process, the × 16 tri-modal interface achieves an energy efficiency of better than 5.0 mW/Gbps per data link at 12.8 Gbps.

[1]  A. Amirkhany,et al.  A 12.8-Gb/s/link tri-modal single-ended memory interface for graphics applications , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

[2]  Ting Wu,et al.  A Tri-Modal 20-Gbps/Link Differential/DDR3/GDDR5 Memory Interface , 2012, IEEE Journal of Solid-State Circuits.

[3]  Jae-Hyung Lee,et al.  A 60nm 6Gb/s/pin GDDR5 Graphics DRAM with Multifaceted Clocking and ISI/SSN-Reduction Techniques , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[4]  Young-Jung Choi,et al.  Wide-range fast-lock duty-cycle corrector with offset-tolerant duty-cycle detection scheme for 54nm 7Gb/s GDDR5 DRAM interface , 2009, 2009 Symposium on VLSI Circuits.

[5]  Lei Luo,et al.  A 32mW 7.4Gb/s protocol-agile source-series-terminated transmitter in 45nm CMOS SOI , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[6]  Karthik Gopalakrishnan,et al.  Single-ended transceiver design techniques for 5.33Gb/s graphics applications , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[7]  Chih-Kong Ken Yang,et al.  A 10-mW 3.6-Gbps I/O transmitter , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

[8]  Manish Jain,et al.  An output structure for a bi-modal 6.4-Gbps GDDR5 and 2.4-Gbps DDR3 compatible memory interface , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[9]  Young-Hyun Jun,et al.  A 0.13-$\mu$ m CMOS 6 Gb/s/pin Memory Transceiver Using Pseudo-Differential Signaling for Removing Common-Mode Noise Due to SSN , 2009, IEEE Journal of Solid-State Circuits.

[10]  Seth R. Sanders,et al.  A 2.4GHz, 20dBm class-D PA with single-bit digital polar modulation in 90nm CMOS , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[11]  Oh-Kyong Kwon,et al.  A 3.2Gbps single-ended receiver using self-reference generation technique for DRAM interface , 2010, 2010 17th IEEE International Conference on Electronics, Circuits and Systems.

[12]  Amir Amirkhany,et al.  Statistical simulation of SSO noise in multi-gigabit systems , 2009, 2009 IEEE 18th Conference on Electrical Performance of Electronic Packaging and Systems.

[13]  So-Ra Kim,et al.  8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[14]  Joong-Ho Kim,et al.  Performance Impact of Simultaneous Switching Output Noise on Graphic Memory Systems , 2007, 2007 IEEE Electrical Performance of Electronic Packaging.

[15]  Aliazam Abbasfar,et al.  On overcoming the limitations of single-ended signaling for graphics memory interfaces , 2011, IEEE Asian Solid-State Circuits Conference 2011.

[16]  Timothy M. Hollis Data Bus Inversion in High-Speed Memory Applications , 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

[17]  Ting Wu,et al.  Clocking circuits for a 16Gb/s memory interface , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[18]  Peter Gregorius,et al.  A 75 nm 7 Gb/s/pin 1 Gb GDDR5 Graphics Memory Device With Bandwidth Improvement Techniques , 2010, IEEE Journal of Solid-State Circuits.

[19]  J.H. Winters,et al.  Techniques for High-Speed Implementation of Nonlinear Cancellation , 1991, IEEE J. Sel. Areas Commun..

[20]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[21]  Young-Hyun Jun,et al.  A 40nm 2Gb 7Gb/s/pin GDDR5 SDRAM with a programmable DQ ordering crosstalk equalizer and adjustable clock-tracking BW , 2011, 2011 IEEE International Solid-State Circuits Conference.