Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

This paper presents a physical implementation of the DSPIN network-on-chip in the FAUST architecture. FAUST is a stream-oriented multi- application SoC platform for telecommunications addressing IEEE 802.11a and MC-CDMA standards. The original asynchronous network-on-chip (ANOC) of FAUST has been replaced by the multi-synchronous DSPIN network-on-chip. In this paper, we analyze how the DSPIN network-on-chip, originally designed to support shared memory and multi-processors architectures, can support stream-oriented architectures. The physical implementation of both ANOC and DSPIN are presented. Finally, a comparison between ANOC and DSPIN designs in a 130 nm technology is carried out in terms of area, throughput, packet latency, and power consumption.

[1]  Marc E. Brown,et al.  Patent pending , 1995 .

[2]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[3]  Marly Roncken,et al.  Asynchronous on-Chip Communication: Explorations on the Intel PXA27x Processor Peripheral Bus , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[4]  A. Greiner,et al.  Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture , 2007 .

[5]  Christian Bernard,et al.  A Telecom Baseband Circuit based on an Asynchronous Network-on-Chip , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[6]  Alain Greiner,et al.  Bi-Synchronous FIFO for Synchronous Circuit Communication Well Suited for Network-on-Chip in GALS Architectures , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[7]  Luca Benini,et al.  NoC Design and Implementation in 65nm Technology , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[8]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[9]  Alain Greiner,et al.  A Low Cost Network-on-Chip with Guaranteed Service Well Suited to the GALS Approach , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[10]  Alain Greiner,et al.  Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[11]  Edith Beigné,et al.  Design of on-chip and off-chip interfaces for a GALS NoC architecture , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).

[12]  Gilles Sicard,et al.  Statistic Implementation of QDI Asynchronous Primitives , 2003, PATMOS.

[13]  Fabien Clermidy,et al.  An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[14]  Jonathan Rodriguez,et al.  4G MC-CDMA multi antenna system on chip for radio enhancements (4MORE) , 2004 .