MTJ variation monitor-assisted adaptive MRAM write

Spin-transfer torque random access memory (STT-RAM) and magnetoelectric random access memory (MeRAM) are promising non-volatile memory technologies. But STT-RAM and MeRAM both suffer from high write error rate due to thermal fluctuation of magnetization. Temperature and wafer-level process variation significantly exacerbate these problems. In this paper, we propose a design that adaptively selects optimized write pulse for STT-RAM and MeRAM to overcome ambient process and temperature variation. To enable the adaptive write, we design specific MTJ-based variation monitor, which precisely senses process and temperature variation. The monitor is over 10X faster, 5X more energy-efficient, and 20X smaller compared with conventional thermal monitors of similar accuracy. With adaptive write, the write latency of STT-RAM and MeRAM cache are reduced by up to 17% and 59% respectively, and application run time is improved by up to 41%.

[1]  Kaushik Roy,et al.  Variation-tolerant Spin-Torque Transfer (STT) MRAM array for yield enhancement , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[2]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[3]  Se-Koo Kang,et al.  Etching of CoFeB Using CO ∕ NH3 in an Inductively Coupled Plasma Etching System , 2011 .

[4]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[5]  Dejan Markovic,et al.  Design of a Fast and Low-Power Sense Amplifier and Writing Circuit for High-Speed MRAM , 2015, IEEE Transactions on Magnetics.

[6]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Poki Chen,et al.  A Time-Domain SAR Smart Temperature Sensor With Curvature Compensation and a 3σ Inaccuracy of −0.4°C ∼ +0.6°C Over a 0°C to 90°C Range , 2010, IEEE Journal of Solid-State Circuits.

[8]  Puneet Gupta,et al.  Comparative Evaluation of Spin-Transfer-Torque and Magnetoelectric Random Access Memory , 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[9]  Kofi A. A. Makinwa,et al.  A CMOS smart temperature sensor with a batch-calibrated inaccuracy of ±0.25°C (3σ) from −70°C to 130°C , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[10]  J. Nowak,et al.  Spin torque switching of perpendicular Ta∣CoFeB∣MgO-based magnetic tunnel junctions , 2011 .

[11]  Hiroshi Kano,et al.  Thermal activation effect on spin transfer switching in magnetic tunnel junctions , 2005 .

[12]  Shoji Ikeda,et al.  Giant tunnel magnetoresistance and high annealing stability in CoFeB∕MgO∕CoFeB magnetic tunnel junctions with synthetic pinned layer , 2006, cond-mat/0606503.

[13]  Wei-gang Wang,et al.  Electric-field-assisted switching in magnetic tunnel junctions. , 2012, Nature materials.

[14]  Yoichi Shiota,et al.  Induction of coherent magnetization switching in a few atomic layers of FeCo using voltage pulses. , 2011, Nature materials.

[15]  Mahmut T. Kandemir,et al.  Evaluating STT-RAM as an energy-efficient main memory alternative , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[16]  Kyoungho Woo,et al.  Dual-DLL-based CMOS all-digital temperature sensor for microprocessor thermal monitoring , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[17]  Kang L. Wang,et al.  Temperature dependence of the voltage-controlled perpendicular anisotropy in nanoscale MgO|CoFeB|Ta magnetic tunnel junctions , 2014 .

[18]  Saied N. Tehrani,et al.  MgO-based tunnel junction material for high-speed toggle magnetic random access memory , 2006, IEEE Transactions on Magnetics.

[19]  Mircea R. Stan,et al.  Relaxing non-volatility for fast and energy-efficient STT-RAM caches , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[20]  Wei Zhang,et al.  A thermal and process variation aware MTJ switching model and its applications in soft error analysis , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[21]  H. Ohno,et al.  Electric field-induced magnetization reversal in a perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction , 2012 .

[22]  C Heide Spin currents in magnetic films. , 2001, Physical review letters.

[23]  H. Meng,et al.  Reduction of switching current by spin transfer torque effect in perpendicular anisotropy magnetoresistive devices (invited) , 2011 .

[24]  Swaroop Ghosh,et al.  Self-correcting STTRAM under magnetic field attacks , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[25]  Kang L. Wang,et al.  Electric-field-induced thermally assisted switching of monodomain magnetic bits , 2013 .

[26]  Weisheng Zhao,et al.  Compact Modeling of Perpendicular-Anisotropy CoFeB/MgO Magnetic Tunnel Junctions , 2012, IEEE Transactions on Electron Devices.

[27]  Chita R. Das,et al.  Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs , 2012, DAC Design Automation Conference 2012.

[28]  Yiran Chen,et al.  STT-RAM cell design optimization for persistent and non-persistent error rate reduction: A statistical design view , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[29]  J. Slaughter,et al.  Progress and outlook for MRAM technology , 1999, IEEE International Magnetics Conference.

[30]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[31]  Jun Yang,et al.  Energy reduction for STT-RAM using early write termination , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[32]  P. Gupta,et al.  Evaluation of Digital Circuit-Level Variability in Inversion-Mode and Junctionless FinFET Technologies , 2013, IEEE Transactions on Electron Devices.

[33]  Ching-Che Chung,et al.  An Autocalibrated All-Digital Temperature Sensor for On-Chip Thermal Monitoring , 2011, IEEE Transactions on Circuits and Systems II: Express Briefs.

[34]  B. Dieny,et al.  Analytical investigation of spin transfer dynamics using a perpendicular-to-plane polarizer , 2005, INTERMAG Asia 2005. Digests of the IEEE International Magnetics Conference, 2005..