A Low Power Differential Cascode Voltage Switch with Pass Gate Pulsed Latch for Viterbi Decoder
暂无分享,去创建一个
[1] Hyein Lee,et al. Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[2] Yiu-Hing Chan,et al. Power-constrained high-frequency circuits for the IBM POWER6 microprocessor , 2007, IBM J. Res. Dev..
[3] Ashutosh Das,et al. A new family of semidynamic and dynamic flip-flops with embedded logic for high-performance processors , 1999 .
[4] Andrew J. Viterbi,et al. Error bounds for convolutional codes and an asymptotically optimum decoding algorithm , 1967, IEEE Trans. Inf. Theory.
[5] Krste Asanovic,et al. Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy , 2007, IEEE Trans. Very Large Scale Integr. Syst..
[6] Cristoph Kutter. Design Challenges for Mobile Communication Devices , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[7] David Li,et al. Comparative analysis and study of metastability on high-performance flip-flops , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[8] Hsie-Chia Chang,et al. Design of a power-reduction Viterbi decoder for WLAN applications , 2005, IEEE Transactions on Circuits and Systems I: Regular Papers.
[9] Robert W. Brodersen,et al. Analysis and design of low-energy flip-flops , 2001, ISLPED '01.
[10] Chen-Yi Lee,et al. A low power and high speed Viterbi decoder chip for WLAN applications , 2003, ESSCIRC 2004 - 29th European Solid-State Circuits Conference (IEEE Cat. No.03EX705).
[11] Wei Hwang,et al. Design and implementation of differential cascode voltage switch with pass-gate (DCVSPG) logic for high-performance digital systems , 1997 .
[12] Richard T. Witek,et al. A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[13] Kaijian Shi. Area and power-delay efficient state retention pulse-triggered flip-flops with scan and reset capabilities , 2008, 2008 IEEE International Conference on Computer Design.
[14] S.-D. Shin,et al. Variable sampling window flip-flops for low-power high-speed VLSI , 2005 .
[15] Pinaki Mazumder,et al. Design of a new sense amplifier flip-flop with improved power-delay-product , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[16] Jaspal Singh Shah,et al. Design of a novel high-performance reduced clock-swing pre-discharge flip-flop , 2009 .
[17] David Blaauw,et al. Modeling and analysis of leakage power considering within-die process variations , 2002, ISLPED '02.
[18] Uming Ko,et al. High-performance energy-efficient D-flip-flop circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[19] Ali Afzali-Kusha,et al. Low-power single- and double-edge-triggered flip-flops for high-speed applications , 2005 .
[20] H. Suzuki,et al. A 100 MHz, 0.4 W RISC processor with 200 MHz multiply adder, using pulse-register technique , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[21] Vladimir Stojanovic,et al. Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems , 1999, IEEE J. Solid State Circuits.
[22] Nor Hisham Hamid,et al. High degree of testability using full scan chain and ATPG-An industrial perspective , 2009 .
[23] Davide De Caro,et al. A novel high-speed sense-amplifier-based flip-flop , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[24] Kiat Seng Yeo,et al. Low-power/high-performance explicit-pulsed flip-flop using static latch and dynamic pulse generator , 2006 .
[25] Hamid Mahmoodi,et al. Dual-edge triggered static pulsed flip-flops , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[26] Hector Sanchez,et al. PowerPC 603, a microprocessor for portable computers , 1994, IEEE Design & Test of Computers.
[27] V.G. Oklobdzija,et al. Improved sense-amplifier-based flip-flop: design and measurements , 2000, IEEE Journal of Solid-State Circuits.
[28] João Paulo Teixeira,et al. Time Management for Low-Power Design of Digital Systems , 2008, J. Low Power Electron..
[29] Ralf Kakerow,et al. Low power design methodologies for mobile communication , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[30] Chulwoo Kim,et al. Differential pass transistor pulsed latch , 2005, Proceedings 2005 IEEE International SOC Conference.
[31] Victor V. Zyuban. Optimization of scannable latches for low energy , 2003, IEEE Trans. Very Large Scale Integr. Syst..