Silicon Photonic 2.5D Multi-Chip Module Transceiver for High-Performance Data Centers

Widespread adoption of silicon photonics into datacenters requires that the integration of the driving electronics with the photonics be an essential component of transceiver development. In this article, we describe our silicon photonic transceiver design: a 2.5D integrated multi-chip module (MCM) for 4-channel wavelength division multiplexed (WDM) microdisk modulation targeting 10 Gbps per channel. A silicon interposer is used to provide connectivity between the photonic integrated circuit (PIC) and the commercial transimpedance amplifiers (TIAs). Error free modulation is demonstrated at 10 Gbps with −16 dBm received power for the photonic bare die and at 6 Gbps with −15 dBm received power for the first iteration of the MCM transceiver. In this context, we outline the different integration approaches currently being employed to interface between electronics and photonics—monolithic, 2D, 3D, and 2.5D—and discuss their tradeoffs. Notable demonstrations of the various integration architectures are highlighted. Finally, we address the scalability of the architecture and highlight a subsequent prototype employing custom electronic integrated circuits (EICs).

[1]  H. Rong,et al.  A 112 Gb/s PAM4 Silicon Photonics Transmitter With Microring Modulator and CMOS Driver , 2020, Journal of Lightwave Technology.

[2]  Douglas D. Coolbaugh,et al.  The AIM Photonics MPW: A Highly Accessible Cutting Edge Technology for Rapid Prototyping of Photonic Integrated Circuits , 2019, IEEE Journal of Selected Topics in Quantum Electronics.

[3]  Xin Yin,et al.  3D Silicon Photonics Interposer for Tb/s Optical Interconnects in Data Centers with Double-Side Assembled Active Components and Integrated Optical and Electrical Through Silicon Via on SOI , 2019, 2019 IEEE 69th Electronic Components and Technology Conference (ECTC).

[4]  B. Bai,et al.  Silicon On-Chip PDM and WDM Technologies Via Plasmonics and Subwavelength Grating , 2019, IEEE Journal of Selected Topics in Quantum Electronics.

[5]  Michael R. Watts,et al.  APSUNY Process Design Kit (PDKv3.0): O, C and L Band Silicon Photonics Component Libraries on 300mm Wafers , 2019, 2019 Optical Fiber Communications Conference and Exhibition (OFC).

[6]  H. Rong,et al.  A 128 Gb/s PAM4 Silicon Microring Modulator With Integrated Thermo-Optic Resonance Tuning , 2019, Journal of Lightwave Technology.

[7]  Yikai Su,et al.  Silicon High-Order Mode (De)Multiplexer on Single Polarization , 2018, Journal of Lightwave Technology.

[8]  Ueda Makoto GLOBALFOUNDRIES Silicon Photonics Platform , 2018 .

[9]  Qixiang Cheng,et al.  Recent advances in optical technologies for data centers: a review , 2018, Optica.

[10]  K. Rebibis,et al.  Hybrid 14nm FinFET - Silicon Photonics Technology for Low-Power Tb/s/mm2 Optical I/O , 2018, 2018 IEEE Symposium on VLSI Technology.

[11]  Rajeev J Ram,et al.  Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip , 2018, Nature.

[12]  Alexander V. Rylyakov,et al.  A Silicon Photonic Transceiver and Hybrid Tunable Laser for 64 Gbaud Coherent Communication , 2018, 2018 Optical Fiber Communications Conference and Exposition (OFC).

[13]  Xiao Sun,et al.  Packaging and Assembly Challenges for 50G Silicon Photonics Interposers , 2018, 2018 Optical Fiber Communications Conference and Exposition (OFC).

[14]  Maurice Garcia-Sciveres,et al.  A review of advances in pixel detectors for experiments with high rate and radiation , 2017, Reports on progress in physics. Physical Society.

[15]  Toshihiko Mori,et al.  Low-Crosstalk Simultaneous 16-Channel × 25 Gb/s Operation of High-Density Silicon Photonics Optical Transceiver , 2017, Journal of Lightwave Technology.

[16]  Surya Bhattacharya,et al.  2.5D Silicon optical interposer for 400 Gbps electronic-photonic integrated circuit platform packaging , 2017, 2017 IEEE 19th Electronics Packaging Technology Conference (EPTC).

[17]  K. Stechschulte,et al.  Advanced silicon photonics technology platform leveraging a semiconductor supply chain , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[18]  Jason S. Orcutt Design of monolithic silicon photonics at 25 Gb/s , 2017, 2017 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS).

[19]  Peter De Dobbelaere,et al.  Advanced Silicon Photonics Transceivers , 2017, 2017 European Conference on Optical Communication (ECOC).

[20]  Ashok V. Krishnamoorthy,et al.  From Chip to Cloud: Optical Interconnects in Engineered Systems , 2017, Journal of Lightwave Technology.

[21]  Young-Kai Chen,et al.  Simultaneous wavelength locking of microring modulator array with a single monitoring signal. , 2017, Optics express.

[22]  M. Chagnon,et al.  Experimental parametric study of 128 Gb/s PAM-4 transmission system using a multi-electrode silicon photonic Mach Zehnder modulator. , 2017, Optics express.

[23]  Simon D. Hammond,et al.  Optical interconnects for extreme scale computing systems , 2017, Parallel Comput..

[24]  Roberto Gaudino,et al.  Demonstration of a Partially Integrated Silicon Photonics ONU in a Self-Coherent Reflective FDMA PON , 2017, Journal of Lightwave Technology.

[25]  Keren Bergman,et al.  Energy-performance optimized design of silicon photonic interconnection networks for high-performance computing , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[26]  Li Chen,et al.  Silicon photonics coherent transceiver in a ball-grid array package , 2017, 2017 Optical Fiber Communications Conference and Exhibition (OFC).

[27]  Lin Jiang,et al.  Use of polarization freedom beyond polarization-division multiplexing to support high-speed and spectral-efficient data transmission , 2016, Light: Science & Applications.

[28]  Huihui Lu,et al.  Photonic Packaging: Transforming Silicon Photonic Integrated Circuits into Photonic Devices , 2016 .

[29]  Yvain Thonnart,et al.  Efficiency Optimization of Silicon Photonic Links in 65-nm CMOS and 28-nm FDSOI Technology Nodes , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[30]  Sylvie Menezo,et al.  A 25 Gb/s 3D-Integrated CMOS/Silicon-Photonic Receiver for Low-Power High-Sensitivity Optical Communication , 2016, Journal of Lightwave Technology.

[31]  J. C. Rosenberg,et al.  Monolithic silicon photonics at 25 Gb/s , 2016, 2016 Optical Fiber Communications Conference and Exhibition (OFC).

[32]  Sylvie Menezo,et al.  On-Board Silicon Photonics-Based Transceivers With 1-Tb/s Capacity , 2016, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[33]  Rajeev J. Ram,et al.  Single-chip microprocessor that communicates directly using light , 2015, Nature.

[34]  J. C. Rosenberg,et al.  An integrated silicon photonics technology for O-band datacom , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).

[35]  Tanaka Shinsuke,et al.  A 25Gb/s Hybrid Integrated Silicon Photonic Transceiver in 28nm CMOS and SOI , 2015 .

[36]  S. Djordjevic,et al.  All solid-state multi-chip multi-channel WDM photonic module , 2015, 2015 IEEE 65th Electronic Components and Technology Conference (ECTC).

[37]  Xuezhe Zheng,et al.  An all-solid-state, WDM silicon photonic digital link for chip-to-chip communications. , 2015, Optics express.

[38]  Anders S. G. Andrae,et al.  On Global Electricity Usage of Communication Technology: Trends to 2030 , 2015 .

[39]  D. Knoll,et al.  BiCMOS silicon photonics platform , 2015, 2015 Optical Fiber Communications Conference and Exhibition (OFC).

[40]  Frederic Boeuf,et al.  Hybrid Silicon Photonic Circuits and Transceiver for 50 Gb/s NRZ Transmission Over Single-Mode Fiber , 2015, Journal of Lightwave Technology.

[41]  Yong Kim,et al.  The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking , 2015, IEEE Journal of Solid-State Circuits.

[42]  Rui Li,et al.  Study of transmission line performance on through silicon interposer , 2014, 2014 IEEE 16th Electronics Packaging Technology Conference (EPTC).

[43]  Antonio Santipo,et al.  Hybrid silicon photonic circuits and transceiver for 56Gb/s NRZ 2.2km transmission over single mode fiber , 2014, 2014 The European Conference on Optical Communication (ECOC).

[44]  Andrew W. Moore,et al.  Power Optimized Transceivers for Future Switched Networks , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[45]  A. Biberman,et al.  An ultralow power athermal silicon modulator , 2014, Nature Communications.

[46]  Keren Bergman,et al.  Wavelength Locking and Thermally Stabilizing Microring Resonators Using Dithering Signals , 2014, Journal of Lightwave Technology.

[47]  Michal Lipson,et al.  WDM-compatible mode-division multiplexing on a silicon chip , 2014, Nature Communications.

[48]  Herbert Reichl,et al.  Modeling and minimizing the inductance of bond wire interconnects , 2013, 2013 17th IEEE Workshop on Signal and Power Integrity.

[49]  Diana Hodgins,et al.  Implantable sensor systems for medical applications , 2013 .

[50]  A. L. Lentine,et al.  Bit-Error-Rate Monitoring for Active Wavelength Control of Resonant Modulators , 2013, IEEE Micro.

[51]  Ashok V. Krishnamoorthy,et al.  10-Gbps, 5.3-mW Optical Transmitter and Receiver Circuits in 40-nm CMOS , 2012, IEEE Journal of Solid-State Circuits.

[52]  Ashok V. Krishnamoorthy,et al.  A Monolithic 25-Gb/s Transceiver With Photonic Ring Modulators and Ge Detectors in a 130-nm CMOS SOI Process , 2012, IEEE Journal of Solid-State Circuits.

[53]  H. Zimmermann,et al.  Zero-bias 40Gbit/s germanium waveguide photodetector on silicon. , 2012, Optics express.

[54]  John E. Cunningham,et al.  Progress in Low-Power Switched Optical Interconnects , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[55]  Michal Lipson,et al.  High bandwidth on-chip silicon photonic interleaver. , 2010, Optics express.

[56]  James G. Mitchell,et al.  Flip-chip integrated silicon photonic bridge chips for sub-picojoule per bit optical links , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).

[57]  T. J. Sleboda,et al.  An ultra low power CMOS photonics technology platform for H/S optoelectronic transceivers at less than $1 per Gbps , 2010, 2010 Conference on Optical Fiber Communication (OFC/NFOEC), collocated National Fiber Optic Engineers Conference.

[58]  Michal Lipson,et al.  CMOS-compatible multiple-wavelength oscillator for on-chip optical interconnects , 2010 .

[59]  Samuel Palermo,et al.  Optical I/O technology for tera-scale computing , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[60]  Jeremy Witzens,et al.  A 40-Gb/s QSFP Optoelectronic Transceiver in a 0.13μm CMOS Silicon-on-Insulator Technology , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[61]  Bin Yu,et al.  FinFET scaling to 10 nm gate length , 2002, Digest. International Electron Devices Meeting,.