Neurocube: A Programmable Digital Neuromorphic Architecture with High-Density 3D Memory
暂无分享,去创建一个
Sudhakar Yalamanchili | Saibal Mukhopadhyay | Sek M. Chai | Jaeha Kung | Duckhwan Kim | J. Kung | S. Mukhopadhyay | S. Yalamanchili | S. Chai | Duckhwan Kim
[1] Sudhakar Yalamanchili,et al. Energy Introspector: A parallel, composable framework for integrated power-reliability-thermal modeling for multicore architectures , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[2] Yann LeCun,et al. CNP: An FPGA-based processor for Convolutional Networks , 2009, 2009 International Conference on Field Programmable Logic and Applications.
[3] Lin-Bao Yang,et al. Cellular neural networks: theory , 1988 .
[4] Jürgen Schmidhuber,et al. Learning to Forget: Continual Prediction with LSTM , 2000, Neural Computation.
[5] Yoshua Bengio,et al. Gradient-based learning applied to document recognition , 1998, Proc. IEEE.
[6] Jürgen Schmidhuber,et al. Deep learning in neural networks: An overview , 2014, Neural Networks.
[7] Zheng Guo,et al. 17.1 A 0.6V 1.5GHz 84Mb SRAM design in 14nm FinFET CMOS technology , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[8] Berin Martini,et al. NeuFlow: A runtime reconfigurable dataflow processor for vision , 2011, CVPR 2011 WORKSHOPS.
[9] Berin Martini,et al. A 240 G-ops/s Mobile Coprocessor for Deep Neural Networks , 2014, 2014 IEEE Conference on Computer Vision and Pattern Recognition Workshops.
[10] Umut Arslan,et al. 13.1 A 1Gb 2GHz embedded DRAM in 22nm tri-gate CMOS technology , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[11] Jia Wang,et al. DaDianNao: A Machine-Learning Supercomputer , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
[12] Kiyoung Choi,et al. A scalable processing-in-memory accelerator for parallel graph processing , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[13] Michele Magno,et al. Accelerating real-time embedded scene labeling with convolutional networks , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[14] Ivo D. Dinov,et al. Deep learning for neural networks , 2018 .
[15] L.O. Chua,et al. Cellular neural networks , 1993, 1988., IEEE International Symposium on Circuits and Systems.
[16] J. Jeddeloh,et al. Hybrid memory cube new DRAM architecture increases density and performance , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[17] Jaejin Lee,et al. 25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[18] Yuan Xie,et al. Optimizing GPU energy efficiency with 3D die-stacking graphics memory and reconfigurable memory interface , 2013, TACO.
[19] Frank Rosenblatt,et al. PRINCIPLES OF NEURODYNAMICS. PERCEPTRONS AND THE THEORY OF BRAIN MECHANISMS , 1963 .
[20] Paul Rosenfeld,et al. Performance Exploration of the Hybrid Memory Cube , 2014 .
[21] David Atienza,et al. 3D-ICE: A Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs , 2014, IEEE Transactions on Computers.
[22] Kiyoung Choi,et al. PIM-enabled instructions: A low-overhead, locality-aware processing-in-memory architecture , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[23] Simon Haykin,et al. Neural Networks and Learning Machines , 2010 .
[24] Christoforos E. Kozyrakis,et al. Towards energy-proportional datacenter memory with mobile DRAM , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[25] Stephen Gould,et al. Decomposing a scene into geometric and semantically consistent regions , 2009, 2009 IEEE 12th International Conference on Computer Vision.
[26] Luca Benini,et al. Origami: A Convolutional Network Accelerator , 2015, ACM Great Lakes Symposium on VLSI.
[27] Luca Benini,et al. High performance AXI-4.0 based interconnect for extensible smart memory cubes , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[28] Luca Benini,et al. A ultra-low-energy convolution engine for fast brain-inspired vision in multicore clusters , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[29] Simon Haykin,et al. GradientBased Learning Applied to Document Recognition , 2001 .
[30] G. Miller. Learning to Forget , 2004, Science.