Data manipulation techniques to reduce phase change memory write energy

Due to its great scalability potential, phase change memory has become a topic of great current interest. However, high write energy consumption appears to be one of the biggest challenges to be tackled before phase change memory can be adopted as a mainstream memory technology. This paper presents architecture level technique to reduce phase change memory write energy consumption through data manipulations. Motivated by the fact that phase change memory read incurs much less energy than write and write of different value to a phase change memory cell incurs largely different energy, we present two memory write data manipulation techniques that can effectively reduce the overall memory write energy consumption. Their effectiveness has been demonstrated based on mathematical analysis and computer system simulation using phase change memory as the main memory in the computer memory hierarchy. Significant energy savings with up to more than 60% have been shown over a wide range of computer system benchmarks.

[1]  Naoki Kitai,et al.  Phase change RAM operated with 1.5-V CMOS as low cost embedded memory , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[2]  Ferdinando Bedeschi,et al.  A Multi-Level-Cell Bipolar-Selected Phase-Change Memory , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[3]  Kinam Kim,et al.  Memory Technologies for sub-40nm Node , 2007, 2007 IEEE International Electron Devices Meeting.

[4]  Mircea R. Stan,et al.  Bus-invert coding for low-power I/O , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[5]  Byung-Gil Choi,et al.  A 0.1-$\mu{\hbox {m}}$ 1.8-V 256-Mb Phase-Change Random Access Memory (PRAM) With 66-MHz Synchronous Burst-Read Operation , 2007, IEEE Journal of Solid-State Circuits.

[6]  C.H. Lam The Quest for the Universal Semiconductor Memory , 2005, 2005 IEEE Conference on Electron Devices and Solid-State Circuits.

[7]  Y.C. Chen,et al.  Write Strategies for 2 and 4-bit Multi-Level Phase-Change Memory , 2007, 2007 IEEE International Electron Devices Meeting.

[8]  Kinam Kim,et al.  Enhanced write performance of a 64 Mb phase-change random access memory , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[9]  Andrea L. Lacaita,et al.  Phase change memories: State-of-the-art, challenges and perspectives , 2005 .

[10]  Kailash Gopalakrishnan,et al.  Overview of candidate device technologies for storage-class memory , 2008, IBM J. Res. Dev..