RC-Based Temperature Prediction Scheme for Proactive Dynamic Thermal Management in Throttle-Based 3D NoCs
暂无分享,去创建一个
An-Yeu Wu | En-Jui Chang | Huai-Ting Li | Kun-Chih Chen | A. Wu | En-Jui Chang | Kun-Chih Chen | Huai-Ting Li
[1] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[2] Frank Kreith,et al. CRC Handbook of Thermal Engineering , 1999 .
[3] Tajana Simunic,et al. Proactive temperature balancing for low cost thermal management in MPSoCs , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[4] Luca Benini,et al. Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive Controller , 2013, IEEE Transactions on Parallel and Distributed Systems.
[5] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[6] Wen-Hsiang Hu,et al. Parallel FFT Algorithms on Network-on-Chips , 2008, Fifth International Conference on Information Technology: New Generations (itng 2008).
[7] An-Yeu Wu,et al. Routing-Based Traffic Migration and Buffer Allocation Schemes for 3-D Network-on-Chip Systems With Thermal Limit , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[8] Lei Jiang,et al. Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[9] Guido Masera,et al. A flexible NoC-based LDPC code decoder implementation and bandwidth reduction methods , 2011, Proceedings of the 2011 Conference on Design & Architectures for Signal & Image Processing (DASIP).
[10] Chong-Min Kyung,et al. Runtime Power Management of 3-D Multi-Core Architectures Under Peak Power and Temperature Constraints , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] Tajana Rosing,et al. Proactive temperature balancing for low cost thermal management in MPSoCs , 2008, ICCAD 2008.
[12] Li Shang,et al. Thermal Modeling, Characterization and Management of On-Chip Networks , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[13] Kai Ma,et al. Adaptive Power Control with Online Model Estimation for Chip Multiprocessors , 2011, IEEE Transactions on Parallel and Distributed Systems.
[14] An-Yeu Wu,et al. Transport-layer-assisted routing for runtime thermal management of 3D NoC systems , 2013, TECS.
[15] Mircea R. Stan,et al. Temperature-Aware Architecture: Lessons and Opportunities , 2011, IEEE Micro.
[16] Wen-Hsiang Hu,et al. Parallel LDPC Decoding on a Network-on-Chip Based Multiprocessor Platform , 2009, 2009 21st International Symposium on Computer Architecture and High Performance Computing.
[17] Sherief Reda,et al. Consistent runtime thermal prediction and control through workload phase detection , 2010, Design Automation Conference.
[18] Tajana Simunic,et al. Distributed thermal management for embedded heterogeneous MPSoCs with dedicated hardware accelerators , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).
[19] An-Yeu Wu,et al. Traffic-thermal mutual-coupling co-simulation platform for three-dimensional Network-on-Chip , 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.
[20] Tajana Simunic,et al. Energy efficient proactive thermal management in memory subsystem , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[21] Arijit Raychowdhury,et al. A 1.05V 1.6mW 0.45°C 3σ-resolution ΔΣ-based temperature sensor with parasitic-resistance compensation in 32nm CMOS , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[22] Eun Jung Kim,et al. Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[23] Riccardo Bettati,et al. Reactive speed control in temperature-constrained real-time systems , 2006, 18th Euromicro Conference on Real-Time Systems (ECRTS'06).
[24] Y. Çengel,et al. Thermodynamics : An Engineering Approach , 1989 .
[25] Michael A. Boles,et al. Thermodynamics : An Engineering Approach, 7th Edition , 2009 .
[26] Dirk Timmermann,et al. Impact of proactive temperature management on performance of Networks-on-Chip , 2011, 2011 International Symposium on System on Chip (SoC).
[27] Stephen P. Boyd,et al. Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[28] Hannu Tenhunen,et al. Design and management of high-performance, reliable and thermal-aware 3D networks-on-chip , 2012, IET Circuits Devices Syst..
[29] An-Yeu Wu,et al. Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[30] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.