On the efficacy of NBTI mitigation techniques
暂无分享,去创建一个
[1] Bo Yang,et al. Statistical prediction of circuit aging under process variations , 2008, 2008 IEEE Custom Integrated Circuits Conference.
[2] Sachin S. Sapatnekar,et al. NBTI-Aware Synthesis of Digital Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[3] Yu Wang,et al. Variation-aware supply voltage assignment for minimizing circuit degradation and leakage , 2009, ISLPED.
[4] Yu Cao,et al. The Impact of NBTI on the Performance of Combinational and Sequential Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[5] Shekhar Y. Borkar,et al. Electronics beyond nano-scale CMOS , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[6] M. Denais,et al. NBTI degradation: From physical mechanisms to modelling , 2006, Microelectron. Reliab..
[7] Muhammad Ashraful Alam,et al. A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..
[8] Yu Cao,et al. Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[9] Sarita V. Adve,et al. AS SCALING THREATENS TO ERODE RELIABILITY STANDARDS, LIFETIME RELIABILITY MUST BECOME A FIRST-CLASS DESIGN CONSTRAINT. MICROARCHITECTURAL INTERVENTION OFFERS A NOVEL WAY TO MANAGE LIFETIME RELIABILITY WITHOUT SIGNIFICANTLY SACRIFICING COST AND PERFORMANCE , 2005 .
[10] Sachin S. Sapatnekar,et al. Adaptive techniques for overcoming performance degradation due to aging in digital circuits , 2009, 2009 Asia and South Pacific Design Automation Conference.
[11] Sachin S. Sapatnekar,et al. Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[12] Ku He,et al. Temperature-aware NBTI modeling and the impact of input vector control on performance degradation , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[13] M.A. Alam,et al. A critical examination of the mechanics of dynamic NBTI for PMOSFETs , 2003, IEEE International Electron Devices Meeting 2003.
[14] E. Murakami,et al. Modeling of NBTI degradation and its impact on electric field dependence of the lifetime , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.
[15] Enrico Macii,et al. NBTI-aware power gating for concurrent leakage and aging optimization , 2009, ISLPED.
[16] Yu Cao,et al. Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology , 2007, IEEE Transactions on Device and Materials Reliability.
[17] Yu Wang,et al. On the efficacy of input Vector Control to mitigate NBTI effects and leakage power , 2009, 2009 10th International Symposium on Quality Electronic Design.
[18] D.S.H. Chan,et al. Mechanism of positive-bias temperature instability in sub-1-nm TaN/HfN/HfO/sub 2/ gate stack with low preexisting traps , 2005, IEEE Electron Device Letters.
[19] Scott A. Mahlke,et al. Data Access Partitioning for Fine-grain Parallelism on Multicore Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[20] K. Jeppson,et al. Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices , 1977 .
[21] Sudhanva Gurumurthi,et al. A multi-level approach to reduce the impact of NBTI on processor functional units , 2010, GLSVLSI '10.
[22] Jaume Abella,et al. Penelope: The NBTI-Aware Processor , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[23] Pradip Bose,et al. Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[24] S. P. Park,et al. Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance , 2007, ICCAD 2007.
[25] Josep Torrellas,et al. The BubbleWrap many-core: Popping cores for sequential acceleration , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[26] Dean M. Tullsen,et al. Fellowship - Simulation And Modeling Of A Simultaneous Multithreading Processor , 1996, Int. CMG Conference.
[27] Wei Wang,et al. Advanced spice modeling for 65nm CMOS technology , 2008, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology.
[28] Subhasish Mitra. Circuit Failure Prediction Enables Robust System Design Resilient to Aging and Wearout , 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).
[29] Josep Torrellas,et al. Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[30] Pradip Bose,et al. The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..