Architecture design with STT-RAM: Opportunities and challenges
暂无分享,去创建一个
Yuan Xie | Yu Lu | Shuangchen Li | Seung H. Kang | Yuanqing Cheng | Ping Chi | Yu Lu | Ping Chi | Shuangchen Li | Yuan Xie | Yuanqing Cheng
[1] Yiran Chen,et al. A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[2] Sreedhar Natarajan,et al. Cycling endurance optimization scheme for 1Mb STT-MRAM in 40nm technology , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[3] Cong Xu,et al. Bandwidth-aware reconfigurable cache design with hybrid memory technologies , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[4] Kaushik Roy,et al. Future cache design using STT MRAMs for improved energy efficiency: Devices, circuits and architecture , 2012, DAC Design Automation Conference 2012.
[5] Weng-Fai Wong,et al. A coherent hybrid SRAM and STT-RAM L1 cache architecture for shared memory multicores , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
[6] Yu Wang,et al. Improving energy efficiency of write-asymmetric memories by log style write , 2012, ISLPED '12.
[7] Xiaoxia Wu,et al. Design exploration of hybrid caches with disparate memory technologies , 2010, TACO.
[8] Satoshi Takaya,et al. 7.5 A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[9] Yuan Xie,et al. OAP: An obstruction-aware cache management policy for STT-RAM last-level caches , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[10] Tao Zhang,et al. CREAM: A Concurrent-Refresh-Aware DRAM Memory architecture , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[11] Jun Yang,et al. Energy reduction for STT-RAM using early write termination , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[12] Sparsh Mittal,et al. A survey of architectural techniques for improving cache power efficiency , 2014, Sustain. Comput. Informatics Syst..
[13] Mahmut T. Kandemir,et al. Evaluating STT-RAM as an energy-efficient main memory alternative , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[14] Mircea R. Stan,et al. Relaxing non-volatility for fast and energy-efficient STT-RAM caches , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[15] Cong Xu,et al. Adaptive placement and migration policy for an STT-RAM-based hybrid cache , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[16] Tao Zhang,et al. Using multi-level cell STT-RAM for fast and energy-efficient local checkpointing , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[17] Amin Jadidi,et al. High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[18] Yohei Nakata,et al. Energy-efficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags , 2013, International Symposium on Quality Electronic Design (ISQED).
[19] M. Aoki,et al. Novel highly scalable multi-level cell for STT-MRAM with stacked perpendicular MTJs , 2013, 2013 Symposium on VLSI Technology.
[20] Stéphan Jourdan,et al. Haswell: The Fourth-Generation Intel Core Processor , 2014, IEEE Micro.
[21] Yuan Xie,et al. Kiln: Closing the performance gap between systems with and without persistence support , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[22] Sudhakar Yalamanchili,et al. An energy efficient cache design using Spin Torque Transfer (STT) RAM , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[23] Wenqing Wu,et al. Multi retention level STT-RAM cache designs with a dynamic refresh scheme , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[24] Sparsh Mittal,et al. A survey of architectural techniques for DRAM power management , 2012, Int. J. High Perform. Syst. Archit..
[25] Jun Yang,et al. Selective restore: An energy efficient read disturbance mitigation scheme for future STT-MRAM , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[26] Yiran Chen,et al. Coordinating prefetching and STT-RAM based last-level cache management for multicore systems , 2013, GLSVLSI '13.
[27] Dong Li,et al. A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-Volatile On-Chip Caches , 2015, IEEE Transactions on Parallel and Distributed Systems.
[28] Onur Mutlu,et al. Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.