The combined effect of process variations and power supply noise on clock skew and jitter
暂无分享,去创建一个
Giovanni De Micheli | Wayne P. Burleson | Hu Xu | Vasilis F. Pavlidis | W. Burleson | G. Micheli | V. Pavlidis | Hu Xu
[1] Simon Tam,et al. Clock generation & distribution for a 45nm, 8-core Xeon® Processor with 24MB cache , 2009, 2009 Symposium on VLSI Circuits.
[2] M. Saint-Laurent,et al. Impact of power-supply noise on timing in high-frequency microprocessors , 2004, IEEE Transactions on Advanced Packaging.
[3] Kimberly Ryan,et al. Cadence Design Systems Inc. , 1993 .
[4] Masanori Hashimoto,et al. Statistical Timing Analysis Considering Clock Jitter and Skew due to Power Supply Noise and Process Variation , 2010, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..
[5] Hai Zhou,et al. Fast Buffer Insertion for Yield Optimization Under Process Variations , 2007, 2007 Asia and South Pacific Design Automation Conference.
[6] Jason Cong,et al. Bounded-skew clock and Steiner routing , 1998, TODE.
[7] Wayne P. Burleson,et al. Compact Expressions for Supply Noise Induced Period Jitter of Global Binary Clock Trees , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[8] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[9] S. Nassif,et al. Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[10] S. Naffziger,et al. Statistical clock skew modeling with data delay variations , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[11] David Blaauw,et al. Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[12] William V. Huott,et al. On-chip Timing Uncertainty Measurements on IBM Microprocessors , 2008, 2008 IEEE International Test Conference.
[13] Keith A. Bowman,et al. Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[14] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[15] Gu-Yeon Wei,et al. Revival: A Variation-Tolerant Architecture Using Voltage Interpolation and Variable Latency , 2009, IEEE Micro.
[16] Jinjun Xiong,et al. Fast buffer insertion considering process variations , 2006, ISPD '06.
[17] Eby G. Friedman,et al. Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.
[18] Meeta Sharma Gupta,et al. Tribeca: Design for PVT variations with local recovery and fine-grained adaptation , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[19] Malgorzata Marek-Sadowska,et al. Coping with buffer delay change due to power and ground noise , 2002, DAC '02.
[20] T. Rahal-Arabi,et al. Enhancing microprocessor immunity to power supply noise with clock-data compensation , 2006, IEEE Journal of Solid-State Circuits.
[21] Thucydides Xanthopoulos,et al. Clocking in Modern VLSI Systems , 2009 .
[22] Jie Gu,et al. Circuit Design and Modeling Techniques for Enhancing the Clock-Data Compensation Effect Under Resonant Supply Noise , 2010, IEEE Journal of Solid-State Circuits.
[23] Xiaohong Jiang,et al. Statistical skew modeling for general clock distribution networks in presence of process variations , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[24] Sachin S. Sapatnekar,et al. Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.