Accurate full-chip estimation of power map, current densities and temperature for EM assessment

Full-chip power ground electro-migration assessment requires a power map, thermal map and checks for IR drops exceeding the design specifications. This paper provides a survey of the three main computation operations required to get these information.

[1]  Timothy A. Davis,et al.  Algorithm 907 , 2010 .

[2]  Sung-Mo Kang Accurate simulation of power dissipation in VLSI circuits , 1986 .

[3]  Dake Liu,et al.  Power consumption estimation in CMOS VLSI chips , 1994, IEEE J. Solid State Circuits.

[4]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Ernst G. Ulrich Table Lookup Techniques for Fast and Flexible Digital Logic Simulation , 1980, 17th Design Automation Conference.

[6]  Stefan Kubicek,et al.  Analysis of leakage currents and impact on off-state power consumption for CMOS technology in the 100-nm regime , 2000 .

[7]  Farid N. Najm,et al.  Circuit Simulation , 2010 .

[8]  Edward J. McCluskey,et al.  Probabilistic Treatment of General Combinational Networks , 1975, IEEE Transactions on Computers.

[9]  Kurt Keutzer,et al.  Estimation of average switching activity in combinational and sequential circuits , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[10]  Ting-Yen Chiang,et al.  Impact of Joule heating on scaling of deep sub-micron Cu/low-k interconnects , 2002, 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303).

[11]  Andrew B. Kahng,et al.  ORION 2.0: A Power-Area Simulator for Interconnection Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  G. Y. Yacoub,et al.  An enhanced technique for simulating short-circuit power dissipation , 1989 .

[13]  YANQING CHEN,et al.  Algorithm 8 xx : CHOLMOD , supernodal sparse Cholesky factorization and update / downdate ∗ , 2006 .

[14]  Jung Ho Ahn,et al.  The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing , 2013, TACO.

[15]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[16]  Vishwani D. Agrawal,et al.  Enhanced dual-transition probabilistic power estimation with selective supergate analysis , 2005, 2005 International Conference on Computer Design.

[17]  LiSheng,et al.  The McPAT Framework for Multicore and Manycore Architectures , 2013 .

[18]  Sachin S. Sapatnekar,et al.  Congestion-aware topology optimization of structured power/ground networks , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  Takayasu Sakurai,et al.  Analysis and future trend of short-circuit power , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Farid N. Najm,et al.  Circuit Simulation , 2010 .

[21]  Tim Schmitz,et al.  Mechanics Of Composite Materials , 2016 .

[22]  Hendrikus J. M. Veendrick,et al.  Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .

[23]  B. Achiriloaie,et al.  VI REFERENCES , 1961 .

[24]  Yousef Saad,et al.  Iterative methods for sparse linear systems , 2003 .

[25]  Sheldon X.-D. Tan,et al.  IR-drop based electromigration assessment: Parametric failure chip-scale analysis , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).