An approximation algorithm for energy-efficient scheduling on a chip multiprocessor

In the recent decade, voltage scaling has become an attractive feature for many system component designs. In this paper we consider energy-efficient real-time task scheduling over a chip multiprocessor architecture. The objective is to schedule a set of frame-based tasks with the minimum energy consumption, where all tasks are ready at time 0 and share a common deadline. We show that such a minimization problem is NP-hard and then propose a 2.371-approximation algorithm. The strength of the proposed algorithm was demonstrated by a series of simulations, for which near optimal results were obtained.

[1]  Krzysztof Kuchcinski,et al.  LEneS: task scheduling for low-energy systems using variable supply voltage processors , 2001, ASP-DAC '01.

[2]  Anantha Chandrakasan,et al.  Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[3]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[4]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[5]  Hsueh-I Lu,et al.  Design theory and implementation for low-power segmented bus systems , 2003, TODE.

[6]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[7]  Flavius Gruian,et al.  System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors , 2000, PACS.

[8]  Sanjoy K. Baruah,et al.  Energy-efficient synthesis of periodic task systems upon identical multiprocessor platforms , 2004, 24th International Conference on Distributed Computing Systems, 2004. Proceedings..

[9]  Tei-Wei Kuo,et al.  Multiprocessor energy-efficient scheduling with task migration considerations , 2004, Proceedings. 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004..

[10]  D. Chen,et al.  Task scheduling and voltage selection for energy minimization , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[11]  T. F. Chen,et al.  Segmented bus design for low-power systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[12]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[13]  Mats Brorsson,et al.  An adaptive chip-multiprocessor architecture for future mobile terminals , 2002, CASES '02.

[14]  Daniel Mossé,et al.  Adaptive scheduling server for power-aware real-time tasks , 2004, TECS.

[15]  Rami G. Melhem,et al.  Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[16]  Rami G. Melhem,et al.  Scheduling with dynamic voltage/speed adjustment using slack reclamation in multi-processor real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[17]  Rami G. Melhem,et al.  Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems , 2003, IEEE Trans. Parallel Distributed Syst..

[18]  Tei-Wei Kuo,et al.  Profit-driven uniprocessor scheduling with energy and timing constraints , 2004, SAC '04.

[19]  Rami G. Melhem,et al.  Energy aware scheduling for distributed real-time systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[20]  Massoud Pedram,et al.  Power Aware Design Methodologies , 2002 .

[21]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[22]  Jihong Kim,et al.  On energy-optimal voltage scheduling for fixed-priority hard real-time systems , 2003, TECS.