Antennas and Channel Characteristics for Wireless Networks on Chips

We review the current state of the art on antennas for use in wireless networks on chips (WiNoCs) and also provide results on wireless channel characteristics in the WiNoC setting—the latter are largely absent from the literature. We first describe the motivation for constructing these miniature networks, aimed at improving efficiency of future multi-processor integrated circuits. We then discuss the implications for antennas: in addition to the usual antenna parameters for communication links (gain, impedance match, pattern), this includes important structural and multiple-access considerations. After a review of the literature and a summary of published antenna characteristics and future challenges, we present example results for a representative structure to illustrate antenna performance and WiNoC channel characteristics.

[1]  Ho-Hsin Yeh,et al.  Design and packaging of small 60 GHz antenna array for multi-chip communication , 2012, 2012 IEEE International Conference on Wireless Information Technology and Systems (ICWITS).

[2]  Filippo Capolino,et al.  Novel high efficiency CMOS on-chip antenna structures at millimeter waves , 2011, 2011 IEEE International Symposium on Antennas and Propagation (APSURSI).

[3]  T. Kikkawa,et al.  On-chip Micro-meander-antennas for silicon LSI wireless interconnects , 2008, 2008 IEEE Antennas and Propagation Society International Symposium.

[4]  T. Kikkawa,et al.  A novel 10 Gb/s silicon on-chip UWB twiggy antenna for intra-package communication , 2011, 2011 IEEE International Symposium on Antennas and Propagation (APSURSI).

[5]  Zhongfeng Wang,et al.  Next generation backplane and copper cable challenges , 2013, IEEE Communications Magazine.

[6]  Coniferous softwood GENERAL TERMS , 2003 .

[7]  Steven D Keller,et al.  Digitally Driven Antenna for HF Transmission , 2010, IEEE Transactions on Microwave Theory and Techniques.

[8]  Jason Cong,et al.  A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.

[9]  David W. Matolak,et al.  Channel modeling for wireless networks-on-chips , 2013, IEEE Communications Magazine.

[10]  Partha Pratim Pande,et al.  Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

[11]  T. Kikkawa,et al.  Analysis of silicon on-chip integrated antennas for intra- and inter-chip wireless interconnects , 2010, 2010 Proceedings of the European Solid State Device Research Conference.

[12]  Dowon Kim,et al.  A 6-Gb/s Wireless Inter-Chip Data Link Using 43-GHz Transceivers and Bond-Wire Antennas , 2009, IEEE Journal of Solid-State Circuits.

[13]  Gabriel M. Rebeiz,et al.  A 0.39–0.44 THz 2x4 Amplifier-Quadrupler Array With Peak EIRP of 3–4 dBm , 2013, IEEE Transactions on Microwave Theory and Techniques.

[14]  David Matolak,et al.  Wireless networks-on-chips: architecture, wireless channel, and devices , 2012, IEEE Wireless Communications.

[15]  Christof Teuscher,et al.  Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.

[16]  Yuri S. Kivshar,et al.  Tapered plasmonic Yagi-Uda nanoantennas for emission enhancement and broadband communication , 2011, 2011 International Quantum Electronics Conference (IQEC) and Conference on Lasers and Electro-Optics (CLEO) Pacific Rim incorporating the Australasian Conference on Optics, Lasers and Spectroscopy and the Australian Conference on Optical Fibre Technology.

[17]  David W. Matolak,et al.  Energy-efficient adaptive wireless NoCs architecture , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[18]  Yu Su,et al.  Communication Using Antennas Fabricated in Silicon Integrated Circuits , 2007, IEEE Journal of Solid-State Circuits.

[19]  Tadahiro Kuroda,et al.  Simultaneous 6-Gb/s Data and 10-mW Power Transmission Using Nested Clover Coils for Noncontact Memory Card , 2012, IEEE Journal of Solid-State Circuits.

[20]  P. Nenzi,et al.  On-chip THz 3D antennas , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[21]  A. Shamim,et al.  The last barrier: on-chip antennas , 2013, IEEE Microwave Magazine.

[22]  K. Kim,et al.  Integrated dipole antennas on silicon substrates for intra-chip communication , 1999, IEEE Antennas and Propagation Society International Symposium. 1999 Digest. Held in conjunction with: USNC/URSI National Radio Science Meeting (Cat. No.99CH37010).

[23]  Atif Shamim,et al.  New Movable Plate for Efficient Millimeter Wave Vertical on-Chip Antenna , 2013, IEEE Transactions on Antennas and Propagation.

[24]  Patrice Brachat,et al.  Design and Characterization of CMOS On-Chip Antennas for 60 GHz Communications , 2012 .

[25]  Peter Russer,et al.  Area-efficient integrated antennas for inter-chip communication , 2010, The 40th European Microwave Conference.

[26]  Ehsan Tavakoli,et al.  An optimized phased-array antenna for intra-chip communications , 2011, 2011 Loughborough Antennas & Propagation Conference.

[27]  Avinash Kodi,et al.  On ultra-short wireless interconnects for NoCs and SoCs: Bridging the ‘THz Gap’ , 2013, 2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS).

[28]  Gabriel M. Rebeiz,et al.  A 0.32 THz SiGe 4x4 Imaging Array Using High-Efficiency On-Chip Antennas , 2013, IEEE Journal of Solid-State Circuits.

[29]  Ho-Hsin Yeh,et al.  On-chip antenna arrays for multi-chip RF data transmission , 2015, 2015 9th European Conference on Antennas and Propagation (EuCAP).

[30]  Ghanshyam Singh,et al.  Design considerations for rectangular microstrip patch antenna on electromagnetic crystal substrate at terahertz frequency , 2010 .

[31]  Shubo Qi,et al.  Improvement of integrated dipole antenna performance using diamond for intra-chip wireless interconnection , 2010, 2010 IEEE International Conference on Integrated Circuit Design and Technology.

[32]  Martin Margala,et al.  On-Chip Integrated Antennas - The First Challenge for Reliable on-Chip Wireless Interconnects , 2006, 2006 Canadian Conference on Electrical and Computer Engineering.

[33]  Alvydas Lisauskas,et al.  A 0.65 THz Focal-Plane Array in a Quarter-Micron CMOS Process Technology , 2009, IEEE Journal of Solid-State Circuits.