A General Framework for Hardware Trojan Detection in Digital Circuits by Statistical Learning Algorithms

The continuous globalization of the semiconductor industry has significantly raised the vulnerability of chips under hardware Trojan (HT) attacks. It is extremely challenging to detect HTs in fabricated chips due to the existence of process variations (PVs), since PVs may cause larger impacts than HTs. In this paper, we propose a novel framework for HT detection in digital integrated circuits. The goal of this paper is to detect HTs inserted during fabrication. The HT detection problem is formulated as an under-determined linear system by a sparse gate profiling technique, and the existence of HTs is mapped to the sparse solution of the linear system. A Bayesian inference-based calibration technique is proposed to recover PVs for each chip for the sparse gate profiling technique. A batch of under-determined linear systems are solved together by the well-studied simultaneous orthogonal matching pursuit algorithm to get their common sparse solution. Experimental results show that even under big measurement errors, the proposed framework gets quite high HT detection rates with low measurement cost.

[1]  Farinaz Koushanfar,et al.  Novel Techniques for High-Sensitivity Hardware Trojan Detection Using Thermal and Power Maps , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  F. Brglez,et al.  A neutral netlists of 10 combinational circuits and a target translator in FORTRAN , 1985 .

[3]  Miodrag Potkonjak,et al.  Hardware Trojan horse detection using gate-level characterization , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[4]  Mark Mohammad Tehranipoor,et al.  Case study: Detecting hardware Trojans in third-party digital IP cores , 2011, 2011 IEEE International Symposium on Hardware-Oriented Security and Trust.

[5]  Arunprasath Shankar,et al.  Cross-correlation of specification and RTL for soft IP analysis , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  Frank Liu,et al.  A General Framework for Spatial Correlation Modeling in VLSI Design , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[7]  Swarup Bhunia,et al.  Improving IC Security Against Trojan Attacks Through Integration of Security Monitors , 2012, IEEE Design & Test of Computers.

[8]  Farinaz Koushanfar,et al.  Consistency-based characterization for IC Trojan detection , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[9]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[10]  Borivoje Nikolic,et al.  Measurements and Analysis of Process Variability in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[11]  Mark Mohammad Tehranipoor,et al.  Trustworthy Hardware: Identifying and Classifying Hardware Trojans , 2010, Computer.

[12]  Prabhat Mishra,et al.  Scalable SoC trust verification using integrated theorem proving and model checking , 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[13]  Yu Zheng,et al.  SeMIA: Self-Similarity-Based IC Integrity Analysis , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Rafael C. González,et al.  Digital image processing, 3rd Edition , 2008 .

[15]  Ken Choi,et al.  On-chip process variation monitoring circuit based on gate leakage sensing , 2010 .

[16]  John D. Villasenor,et al.  Dynamic Function Replacement for System-on-Chip Security in the Presence of Hardware-Based Attacks , 2014, IEEE Transactions on Reliability.

[17]  Yu Liu,et al.  Hardware Trojan detection through golden chip-free statistical side-channel fingerprinting , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[18]  Dhruva Acharyya,et al.  Measuring within-die spatial variation profile through power supply current measurements , 2011, 2011 12th International Symposium on Quality Electronic Design.

[19]  Sergei Skorobogatov,et al.  Breakthrough Silicon Scanning Discovers Backdoor in Military Chip , 2012, CHES.

[20]  Jie Zhang,et al.  HTOutlier: Hardware Trojan detection with side-channel signature outlier identification , 2012, 2012 IEEE International Symposium on Hardware-Oriented Security and Trust.

[21]  Mark Mohammad Tehranipoor,et al.  Trustworthy Hardware: Trojan Detection and Design-for-Trust Challenges , 2011, Computer.

[22]  Yiorgos Makris,et al.  Proof-Carrying Hardware Intellectual Property: A Pathway to Trusted Module Acquisition , 2012, IEEE Transactions on Information Forensics and Security.

[23]  Chip-Hong Chang,et al.  Hardware Trojan detection with linear regression based gate-level characterization , 2014, 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

[24]  Youhua Shi,et al.  A score-based classification method for identifying Hardware-Trojans at gate-level netlists , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[25]  Simha Sethumadhavan,et al.  FANCI: identification of stealthy malicious logic using boolean functional analysis , 2013, CCS.

[26]  David H. K. Hoe,et al.  Designing stealthy Trojans with sequential logic: A stream cipher case study , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[27]  Joel A. Tropp,et al.  Algorithms for simultaneous sparse approximation. Part I: Greedy pursuit , 2006, Signal Process..

[29]  Jie Zhang,et al.  VeriTrust: Verification for Hardware Trust , 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[30]  Farinaz Koushanfar,et al.  A Unified Framework for Multimodal Submodular Integrated Circuits Trojan Detection , 2011, IEEE Transactions on Information Forensics and Security.

[31]  Miodrag Potkonjak,et al.  This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Scalable Hardware Trojan Diagnosis , 2022 .

[32]  Michael S. Hsiao,et al.  Trusted RTL: Trojan detection methodology in pre-silicon designs , 2010, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[33]  Kaushik Roy,et al.  Hardware Trojan Detection by Multiple-Parameter Side-Channel Analysis , 2013, IEEE Transactions on Computers.

[34]  Benjamin Carrion Schafer,et al.  Hardware Trojan Detection in Behavioral Intellectual Properties (IP's) Using Property Checking Techniques , 2017, IEEE Transactions on Emerging Topics in Computing.

[35]  Christopher M. Bishop,et al.  Pattern Recognition and Machine Learning (Information Science and Statistics) , 2006 .

[36]  Chenjie Gu,et al.  Bayesian Model Fusion: A statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[37]  Joel A. Tropp,et al.  Signal Recovery From Random Measurements Via Orthogonal Matching Pursuit , 2007, IEEE Transactions on Information Theory.

[38]  David Blaauw,et al.  Fast Statistical Static Timing Analysis Using Smart Monte Carlo Techniques , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[39]  Hans Jürgen Mattausch,et al.  Measurement-Based Ring Oscillator Variation Analysis , 2010, IEEE Design & Test of Computers.

[40]  Dennis Sylvester,et al.  A2: Analog Malicious Hardware , 2016, 2016 IEEE Symposium on Security and Privacy (SP).

[41]  K. Agarwal,et al.  Fast Characterization of Threshold Voltage Fluctuation in MOS Devices , 2008, IEEE Transactions on Semiconductor Manufacturing.

[42]  David Blaauw,et al.  Statistical Analysis and Optimization for VLSI: Timing and Power , 2005, Series on Integrated Circuits and Systems.

[43]  Jie Zhang,et al.  DeTrust: Defeating Hardware Trust Verification with Stealthy Implicitly-Triggered Hardware Trojans , 2014, CCS.

[44]  Jae-Joon Kim,et al.  A Local Random Variability Detector With Complete Digital On-Chip Measurement Circuitry , 2009, IEEE Journal of Solid-State Circuits.

[45]  Y. C. Pati,et al.  Orthogonal matching pursuit: recursive function approximation with applications to wavelet decomposition , 1993, Proceedings of 27th Asilomar Conference on Signals, Systems and Computers.

[46]  Dhruva Acharyya,et al.  Detecting Trojans Through Leakage Current Analysis Using Multiple Supply Pad ${I}_{\rm DDQ}$s , 2010, IEEE Transactions on Information Forensics and Security.

[47]  Jie Zhang,et al.  FASTrust: Feature analysis for third-party IP trust verification , 2015, 2015 IEEE International Test Conference (ITC).

[48]  A. Macii,et al.  On-chip process variation-tracking through an all-digital monitoring architecture , 2012, IET Circuits Devices Syst..

[49]  Mark Mohammad Tehranipoor,et al.  A Clock Sweeping Technique for Detecting Hardware Trojans Impacting Circuits Delay , 2013, IEEE Design & Test.

[50]  Jie Chen,et al.  Theoretical Results on Sparse Representations of Multiple-Measurement Vectors , 2006, IEEE Transactions on Signal Processing.

[51]  Rouwaida Kanj,et al.  Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed-Signal Circuits by Reusing Early-Stage Data , 2016, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..