D C ] 3 J an 2 01 9 A Secure and Persistent Memory System for Non-volatile Memory
暂无分享,去创建一个
[1] Helger Lipmaa,et al. Comments to NIST concerning AES Modes of Operations: CTR-Mode Encryption , 2000 .
[2] Vincent Rijmen,et al. The Design of Rijndael: AES - The Advanced Encryption Standard , 2002 .
[3] Marten van Dijk,et al. Efficient memory integrity verification and encryption for secure processors , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[4] Hsien-Hsin S. Lee,et al. High efficiency counter mode security architecture via prediction and precomputation , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[5] T. Schloesser,et al. Challenges for the DRAM cell scaling to 40nm , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[6] Ali-Reza Adl-Tabatabai,et al. McRT-Malloc: a scalable transactional memory allocator , 2006, ISMM '06.
[7] B. Rogers,et al. Improving Cost, Performance, and Security of Memory Encryption and Authentication , 2006, ISCA 2006.
[8] Jung Ho Ahn,et al. A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies , 2008, 2008 International Symposium on Computer Architecture.
[9] Vijayalakshmi Srinivasan,et al. Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[10] Jun Yang,et al. A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.
[11] Christopher Frost,et al. Better I/O through byte-addressable, persistent memory , 2009, SOSP '09.
[12] Frederick T. Chen,et al. Evidence and solution of over-RESET problem for HfOX based resistive memory with sub-ns switching speed and high endurance , 2010, 2010 International Electron Devices Meeting.
[13] Moinuddin K. Qureshi,et al. Improving read performance of Phase Change Memories via Write Cancellation and Write Pausing , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[14] Hsien-Hsin S. Lee,et al. Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping , 2010, ISCA.
[15] H.-S. Philip Wong,et al. Phase Change Memory , 2010, Proceedings of the IEEE.
[16] Hisashi Shima,et al. Resistive Random Access Memory (ReRAM) Based on Metal Oxides , 2010, Proceedings of the IEEE.
[17] Bradford M. Beckmann,et al. The gem5 simulator , 2011, CARN.
[18] Michael M. Swift,et al. Mnemosyne: lightweight persistent memory , 2011, ASPLOS XVI.
[19] Brian Rogers,et al. SecureME: a hardware-software approach to full system security , 2011, ICS '11.
[20] Rajesh K. Gupta,et al. NV-Heaps: making persistent objects fast and safe with next-generation, non-volatile memories , 2011, ASPLOS XVI.
[21] Kinam Kim,et al. A fast, high-endurance and scalable non-volatile memory device made from asymmetric Ta2O(5-x)/TaO(2-x) bilayer structures. , 2011, Nature materials.
[22] Yan Solihin,et al. i-NVMM: A secure non-volatile main memory system with incremental encryption , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[23] Qi Wang,et al. A 20nm 1.8V 8Gb PRAM with 40MB/s program bandwidth , 2012, 2012 IEEE International Solid-State Circuits Conference.
[24] Xueti Tang,et al. Spin-transfer torque magnetic random access memory (STT-MRAM) , 2013, JETC.
[25] Thomas F. Wenisch,et al. Memory persistency , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[26] Sanjay Kumar,et al. System software for persistent memory , 2014, EuroSys '14.
[27] Qin Jin,et al. Persistent B+-Trees in Non-Volatile Main Memory , 2015, Proc. VLDB Endow..
[28] Tao Zhang,et al. Overcoming the challenges of crossbar resistive memory architectures , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[29] Jun Li,et al. Quartz: A Lightweight Performance Emulator for Persistent Memory Software , 2015, Middleware.
[30] Tao Zhang,et al. NVMain 2.0: A User-Friendly Memory Simulator to Model (Non-)Volatile Memory Systems , 2015, IEEE Computer Architecture Letters.
[31] Jongmoo Choi,et al. ThyNVM: Enabling software-transparent crash consistency in persistent memory systems , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[32] Amirsaman Memaripour,et al. Mojim: A Reliable and Highly-Available Non-Volatile Memory System , 2015, ASPLOS.
[33] Bingsheng He,et al. NV-Tree: Reducing Consistency Cost for NVM-based Single Level Systems , 2015, FAST.
[34] Moinuddin K. Qureshi,et al. DEUCE: Write-Efficient Encryption for Non-Volatile Memories , 2015, ASPLOS.
[35] Kartik Mohanram,et al. SECRET: Smartly EnCRypted Energy efficienT non-volatile memories , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[36] Jian Xu,et al. NOVA: A Log-structured File System for Hybrid Volatile/Non-volatile Main Memories , 2016, FAST.
[37] Thomas F. Wenisch,et al. Delegated persist ordering , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[38] Yan Solihin,et al. Silent Shredder: Zero-Cost Shredding for Secure Non-Volatile Main Memory Controllers , 2016, ASPLOS.
[39] Thomas F. Wenisch,et al. High-Performance Transactions for Persistent Memories , 2016, ASPLOS.
[40] Satish Narayanasamy,et al. Language-level persistency , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
[41] Kartik Mohanram,et al. ASSURE: Authentication Scheme for SecURE energy efficient non-volatile memories , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[42] Yan Solihin,et al. Proteus: A Flexible and Fast Software Supported Hardware Logging approach for NVM , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[43] Michael M. Swift,et al. An Analysis of Persistent Memory Use with WHISPER , 2017, ASPLOS.
[44] Jian Xu,et al. NOVA-Fortis: A Fault-Tolerant Non-Volatile Main Memory File System , 2017, SOSP.
[45] Sam H. Noh,et al. WORT: Write Optimal Radix Tree for Persistent Memory Storage Systems , 2017, FAST.
[46] Weimin Zheng,et al. DudeTM: Building Durable Transactions with Decoupling for Persistent Memory , 2017, ASPLOS.
[47] Sam H. Noh,et al. Failure-Atomic Slotted Paging for Persistent Memory , 2017, ASPLOS.
[48] Dan Feng,et al. A wear-leveling-aware counter mode for data encryption in non-volatile memories , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[49] Youjip Won,et al. Endurable Transient Inconsistency in Byte-Addressable Persistent B+-Tree , 2018, FAST.
[50] Samira Manabi Khan,et al. Crash Consistency in Encrypted Non-volatile Main Memory Systems , 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[51] Mao Ye,et al. Osiris: A Low-Cost Mechanism to Enable Restoration of Secure Non-Volatile Memories , 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[52] Jie Wu,et al. Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory , 2018, OSDI.
[53] Kartik Mohanram,et al. ACME: Advanced Counter Mode Encryption for Secure Non-Volatile Memories , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
[54] Ming Zhao,et al. Improving the Performance and Endurance of Encrypted Non-Volatile Main Memory through Deduplicating Writes , 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[55] Terence Kelly. Persistent Memory Programming on Conventional Hardware , 2019, ACM Queue.