Ultra Low-Power Electronics and Design
暂无分享,去创建一个
[1] Bill Moyer,et al. A low power unified cache architecture providing power and performance flexibility , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[2] Mahadev Satyanarayanan,et al. Categories and Subject Descriptors: D.4.3 [Software]: File Systems Management—Distributed , 2022 .
[3] R. H. Havemann,et al. High-performance interconnects: an integration overview , 2001, Proc. IEEE.
[4] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[5] Massoud Pedram,et al. Architectural energy optimization by bus splitting , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[6] Shin'ichiro Mutoh,et al. 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS , 1995, IEEE J. Solid State Circuits.
[7] Miodrag Potkonjak,et al. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[8] Maurizio Palesi,et al. Multi-objective design space exploration using genetic algorithms , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).
[9] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[10] Luca Benini,et al. Packetized on-chip interconnect communication analysis for MPSoC , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[11] Mau-Chung Frank Chang,et al. Reconfigurable interconnect for next generation systems , 2002, SLIP '02.
[12] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[13] Altamiro Amadeu Susin,et al. SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..
[14] Monica S. Lam,et al. The cache performance and optimizations of blocked algorithms , 1991, ASPLOS IV.
[15] David H. Albonesi,et al. Selective cache ways: on-demand cache resource allocation , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[16] Qi Wang,et al. Static power optimization of deep submicron CMOS circuits for dual V/sub T/ technology , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[17] Radu Marculescu,et al. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.
[18] Hitoshi Aoki,et al. Dynamic characterization of a-Si TFT-LCD pixels , 1996 .
[19] Sujit Dey,et al. Efficient exploration of the SoC communication architecture design space , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[20] Russell Tessier,et al. Adaptive system on a chip (ASOC): a backbone for power-aware signal processing cores , 2003, Proceedings 2003 International Conference on Image Processing (Cat. No.03CH37429).
[21] Nikil D. Dutt,et al. Behavioral array mapping into multiport memories targeting low power , 1997, Proceedings Tenth International Conference on VLSI Design.
[22] Krzysztof Zielinski,et al. Active Badges--The Next Generation , 1998 .
[23] Takayasu Sakurai,et al. Boosted gate MOS (BGMOS): device/circuit cooperation scheme to achieve leakage-free giga-scale integration , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
[24] David F. Bacon,et al. Compiler transformations for high-performance computing , 1994, CSUR.
[25] Peter H. N. de With,et al. Chip-set for video display of multimedia information , 1999, 1999 Digest of Technical Papers. International Conference on Consumer Electronics (Cat. No.99CH36277).
[26] Anantha Chandrakasan,et al. Transistor sizing issues and tool for multi-threshold CMOS technology , 1997, DAC.
[27] Frank Vahid,et al. Cache configuration exploration on prototyping platforms , 2003, 14th IEEE International Workshop on Rapid Systems Prototyping, 2003. Proceedings..
[28] Gregory W. Donohoe,et al. Low-power reconfigurable processor , 2002, Proceedings, IEEE Aerospace Conference.
[29] Frank Vahid,et al. Low static-power frequent-value data caches , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[30] N. Meyers,et al. H = W. , 1964, Proceedings of the National Academy of Sciences of the United States of America.
[31] Mark C. Johnson,et al. Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks , 1998, ISLPED '98.
[32] Donatella Sciuto,et al. Library functions timing characterization for source-level analysis , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[33] Tajana Simunic,et al. Remote power control of wireless network interfaces , 2003, J. Embed. Comput..
[34] Naresh R. Shanbhag,et al. Toward achieving energy efficiency in presence of deep submicron noise , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[35] Cedric Nishan Canagarajah,et al. Perceptually optimised sign language video coding , 2003, 10th IEEE International Conference on Electronics, Circuits and Systems, 2003. ICECS 2003. Proceedings of the 2003.
[36] Sujit Dey,et al. On-chip communication architecture for OC-768 network processors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[37] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[38] Sachin S. Sapatnekar,et al. Standby power optimization via transistor sizing and dual threshold voltage assignment , 2002, ICCAD 2002.
[39] Mircea R. Stan,et al. Circuit-level techniques to control gate leakage for sub-100nm CMOS , 2002, ISLPED '02.
[40] Jun Yang,et al. Frequent value locality and its applications , 2002, TECS.
[41] Sudhakar Yalamanchili,et al. Power constrained design of multiprocessor interconnection networks , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[42] Scott Shenker,et al. Scheduling for reduced CPU energy , 1994, OSDI '94.
[43] Frank Vahid,et al. Platune: a tuning framework for system-on-a-chip platforms , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[44] Satoshi Shigematsu,et al. A 1-V high-speed MTCMOS circuit scheme for power-down application circuits , 1997, IEEE J. Solid State Circuits.
[45] Mohamed I. Elmasry,et al. Power dissipation analysis and optimization of deep submicron CMOS digital circuits , 1996, IEEE J. Solid State Circuits.
[46] Li Shang,et al. Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[47] Arijit Ghosh,et al. Cache optimization for embedded processor cores: An analytical approach , 2004, ACM Trans. Design Autom. Electr. Syst..
[48] Luca Benini,et al. Event-driven power management , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[49] Daniel Pierre Bovet,et al. Understanding the Linux Kernel , 2000 .
[50] Patrick Schaumont,et al. Integrated modeling and generation of a reconfigurable network-on-chip , 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..
[51] Mark C. Johnson,et al. Models and algorithms for bounds on leakage in CMOS circuits , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[52] Giovanni De Micheli,et al. An adaptive low-power transmission scheme for on-chip networks , 2002, 15th International Symposium on System Synthesis, 2002..
[53] Frank Vahid,et al. A highly configurable cache architecture for embedded systems , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[54] David Blaauw,et al. Robust SAT-Based Search Algorithm for Leakage Power Reduction , 2002, PATMOS.
[55] Jongsun Kim,et al. A 2-Gb/s/pin source synchronous CDMA bus interface with simultaneous multi-chip access and reconfigurable I/O capability , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[56] William J. Dally,et al. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels , 1993, IEEE Trans. Parallel Distributed Syst..
[57] Jari Nurmi,et al. Interconnect IP node for future system-on-chip designs , 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.
[58] Nikil D. Dutt,et al. Automatic tuning of two-level caches to embedded applications , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[59] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[60] Chaitali Chakrabarti,et al. Low power multi-module, multi-port memory design for embedded systems , 2000, 2000 IEEE Workshop on SiGNAL PROCESSING SYSTEMS. SiPS 2000. Design and Implementation (Cat. No.00TH8528).
[61] Luca Benini,et al. Low power error resilient encoding for on-chip data buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[62] Kunle Olukotun,et al. The case for a single-chip multiprocessor , 1996, ASPLOS VII.
[63] Ulrich Kremer,et al. Energy management of virtual memory on diskless devices , 2003 .
[64] H. Zhang,et al. A 1 V heterogeneous reconfigurable processor IC for baseband wireless applications , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[65] Michael Wolfe,et al. More iteration space tiling , 1989, Proceedings of the 1989 ACM/IEEE Conference on Supercomputing (Supercomputing '89).
[66] E. Peli. Contrast in complex images. , 1990, Journal of the Optical Society of America. A, Optics and image science.
[67] David Blaauw,et al. Analysis and minimization techniques for total leakage considering gate oxide leakage , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[68] J. Wortman,et al. A comparative study of gate direct tunneling and drain leakage currents in n-MOSFET's with sub-2 nm gate oxides , 2000 .
[69] Qi Xiang,et al. Limits of gate-oxide scaling in nano-transistors , 2000, 2000 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.00CH37104).
[70] Luca Benini,et al. Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques , 2003, TECS.
[71] Luca Benini,et al. Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.
[72] Peter James Aldworth. System-on-a-chip bus architecture for embedded applications , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).
[73] Luca Benini,et al. Performance Analysis of Arbitration Policies for SoC Communication Architectures , 2003, Des. Autom. Embed. Syst..
[74] Luca Benini,et al. Low-power system-on-chip architecture for wireless LANs , 2004 .
[75] Hugo De Man,et al. Code transformations for low power caching in embedded multimedia processors , 1998, Proceedings of the First Merged International Parallel Processing Symposium and Symposium on Parallel and Distributed Processing.
[76] Sujit Dey,et al. Evaluation of the traffic-performance characteristics of system-on-chip communication architectures , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.
[77] Farid N. Najm,et al. Design techniques for gate-leakage reduction in CMOS circuits , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..
[78] Jan M. Rabaey,et al. Interconnect architecture exploration for low-energy reconfigurable single-chip DSPs , 1999, Proceedings. IEEE Computer Society Workshop on VLSI '99. System Design: Towards System-on-a-Chip Paradigm.
[79] Naehyuck Chang,et al. Low-power color TFT LCD display for hand-held embedded systems , 2002, ISLPED '02.
[80] Sharad Malik,et al. Flexible and formal modeling of microprocessors with application to retargetable simulation , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[81] Anoop Gupta,et al. SPLASH: Stanford parallel applications for shared-memory , 1992, CARN.
[82] Alberto L. Sangiovanni-Vincentelli,et al. Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[83] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[84] Mark C. Johnson,et al. Design and optimization of low voltage high performance dual threshold CMOS circuits , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[85] Scott J. Daly,et al. Visible differences predictor: an algorithm for the assessment of image fidelity , 1992, Electronic Imaging.
[86] Frank Vahid,et al. A self-tuning cache architecture for embedded systems , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[87] Frank Vahid,et al. Using a victim buffer in an application-specific memory hierarchy , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[88] O. Paker,et al. A heterogeneous multi-core platform for low power signal processing in systems-on-chip , 2002, Proceedings of the 28th European Solid-State Circuits Conference.
[89] Luiz André Barroso,et al. Piranha: a scalable architecture based on single-chip multiprocessing , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[90] Evangelos P. Markatos,et al. The Network RamDisk: Using remote memory on heterogeneous NOWs , 1999, Cluster Computing.
[91] William J. Dally,et al. Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.
[92] Hoi-Jun Yoo,et al. An 800MHz star-connected on-chip network for application to systems on a chip , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[93] Majid Sarrafzadeh,et al. A quick safari through the reconfiguration jungle , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[94] Hiroto Yasuura,et al. Real-time task scheduling for a variable voltage processor , 1999, Proceedings 12th International Symposium on System Synthesis.
[95] Christian Piguet,et al. Low-Power Electronics Design , 2004 .
[96] Hoi-Jun Yoo,et al. A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[97] Thorsten Grotker,et al. System Design with SystemC , 2002 .
[98] Farid N. Najm,et al. A gate-level leakage power reduction method for ultra-low-power CMOS circuits , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.