Temperature-Aware Delay Borrowing for Energy-Efficient Low-Voltage Link Design

This paper presents a new technique that takes advantage of the differing temperature dependences in low-voltage interconnect links and higher voltage transceivers. The link and transceiver are dynamically retimed as the system temperature changes. This delay borrowing enables the link to maintain a frequency requirement despite temperature-induced frequency variations in excess of 200%, and enables the link to operate at lower voltages than possible with a non-temperature aware link. In addition to improved tolerance of environmental variations, the proposed approach achieves energy savings of up to 40% in a commercial 65 nm technology, including the energy overhead of the temperature-aware system. Further, the delay borrowing system is shown to decrease temperature-induced delay variations by 85%.

[1]  Sachin S. Sapatnekar,et al.  Temperature-aware routing in 3D ICs , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[2]  Himanshu Kaul,et al.  A novel buffer circuit for energy efficient signaling in dual-VDD systems , 2005, ACM Great Lakes Symposium on VLSI.

[3]  Pingshan Wang,et al.  Pulsed wave interconnect , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Mani B. Srivastava,et al.  A survey of techniques for energy efficient on-chip communication , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[5]  Sharad Malik,et al.  Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[6]  David Blaauw,et al.  DVS for on-chip bus designs based on timing error correction , 2005, Design, Automation and Test in Europe.

[7]  Bo Fu,et al.  Lookahead-based adaptive voltage scheme for energy-efficient on-chip interconnect links , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[8]  Mark Anders,et al.  A Low-swing Signaling Circuit Technique for 65nm On-chip Interconnects , 2006, 2006 IEEE International SOC Conference.

[9]  Robin Wilson,et al.  Temperature Dependence in Low Power CMOS UDSM Process , 2004, PATMOS.

[10]  Paul Ampadu,et al.  Adaptive Delay Correction for Runtime Variation in Dynamic voltage Scaling Systems , 2008, J. Circuits Syst. Comput..

[11]  Yuan Xie,et al.  Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design , 2006, J. VLSI Signal Process..

[12]  Kaustav Banerjee,et al.  A power-optimal repeater insertion methodology for global interconnects in nanometer designs , 2002 .

[13]  Luca Benini,et al.  Error control schemes for on-chip communication links: the energy-reliability tradeoff , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Volkan Kursun,et al.  Supply and Threshold Voltage Optimization for Temperature Variation Insensitive Circuit Performance: A Comparison , 2006, 2006 IEEE International SOC Conference.

[15]  Sung-Mo Kang,et al.  Temperature-Aware Placement for SOCs , 2006, Proceedings of the IEEE.

[16]  Bo Fu,et al.  On Hamming Product Codes With Type-II Hybrid ARQ for On-Chip Interconnects , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[17]  Ali Dasdan,et al.  Handling inverted temperature dependence in static timing analysis , 2006, TODE.

[18]  Paul Ampadu,et al.  Normal and Reverse Temperature Dependence in Variation-Tolerant Nanoscale Systems with High-k Dielectrics and Metal Gates , 2008, NanoNet.

[19]  George Varghese,et al.  Low-swing on-chip signaling techniques: effectiveness and robustness , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[20]  Kiyoo Itoh,et al.  Supply voltage scaling for temperature insensitive CMOS circuit operation , 1998 .

[21]  Manoj Sachdev,et al.  Variation-Aware Adaptive Voltage Scaling System , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Giovanni De Micheli,et al.  A robust self-calibrating transmission scheme for on-chip networks , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Enrico Macii,et al.  Temperature-insensitive synthesis using multi-vt libraries , 2008, GLSVLSI '08.

[24]  Kevin J. Nowka,et al.  A Dual-VDD Boosted Pulsed Bus Technique for Low Power and Low Leakage Operation , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[25]  Saurabh Dighe,et al.  Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[26]  E. Nowak,et al.  High-performance CMOS variability in the 65-nm regime and beyond. IBM J Res And Dev , 2006 .

[27]  Diana Marculescu,et al.  Variability-Aware Frequency Scaling in Multi-Clock Processors , 2008 .

[28]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[29]  Katherine Shu-Min Li,et al.  Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement , 2009, 2009 Asia and South Pacific Design Automation Conference.

[30]  Li Shang,et al.  Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[31]  Wayne P. Burleson,et al.  Thermal Impacts on NoC Interconnects , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[32]  Narayanan Vijaykrishnan,et al.  On-chip Bus Thermal Analysis and Optimization , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[33]  I. Filanovsky,et al.  Mutual compensation of mobility and threshold voltage temperature effects with applications in CMOS circuits , 2001 .

[34]  Radu Marculescu,et al.  On-Chip Stochastic Communication , 2003, DATE.

[35]  J. Silva-Martinez,et al.  Low-voltage low-power LVDS drivers , 2005, IEEE Journal of Solid-State Circuits.

[36]  Shahin Nazarian,et al.  Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods , 2006, Proceedings of the IEEE.

[37]  Kaustav Banerjee,et al.  Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[38]  Changhae Park,et al.  Reversal of temperature dependence of integrated circuits operating at very low voltages , 1995, Proceedings of International Electron Devices Meeting.

[39]  N.K. Jha,et al.  Temperature-Aware On-Chip Networks , 2006, IEEE Micro.