Interconnect scaling implications for CAD
暂无分享,去创建一个
[1] T. P. Ma,et al. Highly robust ultra-thin gate dielectric for giga scale technology , 1998, 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216).
[2] K. Evans-Lutterodt,et al. Ultra-thin, 1.0-3.0 nm, gate oxides for high performance sub-100 nm technology , 1998, 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216).
[3] Syed A. Rizvi. National technology roadmap for semiconductors: an analysis and perspective , 1998, Advanced Lithography.
[4] Kurt Keutzer,et al. Getting to the bottom of deep submicron , 1998, ICCAD '98.
[5] Frank M. Johannes,et al. Timing driven placement in interaction with netlist transformations , 1997, ISPD '97.
[6] William E. Donath,et al. Placement and average interconnection lengths of computer logic , 1979 .
[7] Robert K. Brayton,et al. Wireplanning in logic synthesis , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[8] James D. Meindl,et al. A stochastic wire length distribution for gigascale integration (GSI) , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.
[9] M. Bohr. Interconnect scaling-the real limiter to high performance ULSI , 1995, Proceedings of International Electron Devices Meeting.
[10] A. Gupta,et al. The Stanford FLASH multiprocessor , 1994, Proceedings of 21 International Symposium on Computer Architecture.
[11] Mark Horowitz,et al. Timing Models for MOS Circuits , 1983 .
[12] Massoud Pedram,et al. A DSM design flow: putting floorplanning, technology-mapping, and gate-placement together , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[13] S.Y. Hsu,et al. A fully planarized 6-level-metal CMOS technology for 0.25-0.18 micron foundry manufacturing , 1997, International Electron Devices Meeting. IEDM Technical Digest.
[14] M. Horowitz,et al. Using partitioning to help convergence in the standard-cell design automation methodology , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[15] D. Hwang,et al. Stacked gate dielectrics with TaO for future CMOS technologies , 1998, 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216).