Diagnosis framework for locating failed segments of path delay faults

Diagnosis tools can be used to speed up the process for finding the root causes of functional or performance problems in a VLSI circuit. In this paper, we proposed a method to locate possible segments that cause extra delays on circuit paths. We use the delay bounds of the tested paths to build linear constraints. By guiding the solutions of the above linear constraints with a linear programming solver, we can identify segments with extra delays. Also, with the ranks of segment delays, we can prioritize the search for possible locations of failed segments. In the diagnosis framework, we also propose to reduce the search space by identifying indistinguishable segments. Essentially, we cannot separate segments in the same category no matter which segments have faults. This approach greatly increases the efficiency of the diagnosis process. In the experimental results, for most cases of injecting 10% of the longest paths delays, the probabilities are over 90% for locating faulty segments within the list of top-ten candidates, and the average rankings are among the top 5 suspect locations

[1]  Malgorzata Marek-Sadowska,et al.  Delay-fault diagnosis using timing information , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Michael D. Ciletti,et al.  A variable observation time method for testing delay faults , 1991, DAC '90.

[3]  Abhijit Chatterjee,et al.  Path delay fault diagnosis in combinational circuits with implicitfault enumeration , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Kwang-Ting Cheng,et al.  Diagnosis of delay defects using statistical timing models , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[5]  Kwang-Ting Cheng,et al.  Diagnosis-based post-silicon timing validation using statistical tools and methodologies , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[6]  Kwang-Ting Cheng,et al.  Delay testing for non-robust untestable circuits , 1993, Proceedings of IEEE International Test Conference - (ITC).

[7]  Spyros Tragoudas,et al.  An Adaptive Path Delay Fault Diagnosis Methodology , 2004 .

[8]  C. Landrault,et al.  Effectiveness of a variable sampling time strategy for delay fault diagnosis , 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

[9]  G. Riano,et al.  Linear Programming solvers for Markov Decision Processes , 2006, 2006 IEEE Systems and Information Engineering Design Symposium.

[10]  Janak H. Patel,et al.  Bounding circuit delay by testing a very small subset of paths , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[11]  Patrick Girard,et al.  A novel approach to delay-fault diagnosis , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[12]  Kwang-Ting Cheng,et al.  Performance sensitivity analysis using statistical method and its applications to delay , 2000, ASP-DAC '00.

[13]  Sudhakar M. Reddy,et al.  Fast Identification of Robust Dependent Path Delay Faults , 1995, 32nd Design Automation Conference.

[14]  Kwang-Ting Cheng,et al.  Path selection for delay testing of deep sub-micron devices using statistical performance sensitivity analysis , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[15]  Nur A. Touba,et al.  A systematic approach for diagnosing multiple delay faults , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[16]  Andrzej J. Strojwas,et al.  Diagnosis of parametric path delay faults , 1996, Proceedings of 9th International Conference on VLSI Design.

[17]  Kwang-Ting Cheng,et al.  Delay defect diagnosis based upon statistical timing models - the first step [logic testing] , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[18]  Abhijit Chatterjee,et al.  Efficient diagnosis of path delay faults in digital logic circuits , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[19]  Nur A. Touba,et al.  Adaptive techniques for improving delay fault diagnosis , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[20]  Andrzej J. Strojwas,et al.  Path delay fault diagnosis and coverage-a metric and an estimationtechnique , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  Spyros Tragoudas,et al.  An implicit path-delay fault diagnosis methodology , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Brian D. Bunday,et al.  Basic linear programming , 1984 .

[23]  Kwang-Ting Cheng,et al.  Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[24]  Jing-Jia Liou,et al.  False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[25]  Kwang-Ting Cheng,et al.  Classification and identification of nonrobust untestable path delay faults , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  Shi-Yu Huang,et al.  Gate-delay fault diagnosis using the inject-and-evaluate paradigm , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..

[27]  Robert K. Brayton,et al.  Delay Fault Coverage and Performance Tradeoffs , 1993, 30th ACM/IEEE Design Automation Conference.

[28]  Sandeep K. Gupta,et al.  A new path-oriented effect-cause methodology to diagnose delay failures , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[29]  Srikanth Venkataraman,et al.  On diagnosing path delay faults in an at-speed environment , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[30]  Kwang-Ting Cheng,et al.  Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[31]  Kwang-Ting Cheng,et al.  False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation , 2002, DAC '02.

[32]  A. J. Strojwas,et al.  Diagnosis of path delay faults , 1995, 38th Midwest Symposium on Circuits and Systems. Proceedings.