Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip

The performance of multiprocessor systems, such as chip multiprocessors (CMPs), is determined not only by the performance of their processors, but also by how efficiently they collaborate with one another. It is the communication architectures which determine the collaboration efficiency on the hardware side. Optical networks-on-chip (ONoCs) are emerging communication architectures that can potentially offer ultra-high communication bandwidth and low latency to multiprocessor systems. Thermal sensitivity is an intrinsic characteristic of photonic devices used by ONoCs as well as a potential issue. For the first time, this paper systematically modeled and quantitatively analyzed the thermal effects in ONoCs and their impacts. We presented an analytical ONoC thermal model, and show that on-chip temperature fluctuations can dramatically reduce the worst-case ONoC power efficiency. For instance, the power efficiency of an ONoC will drop to about 5pJ/bit when chip temperature reaches 85oC. We revealed three important factors regarding ONoC power efficiency under temperature variations, and found the optimal initial device conditions to minimize thermal impacts. The impacts of the thermal effects on the ONoC power consumption under different chip temperatures, device characteristics, and ONoC configurations are quantitatively analyzed. The findings in this paper can help support the further development of this emerging technology.

[1]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[2]  R. Michalzik,et al.  Operating Principles of VCSELs , 2003 .

[3]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[4]  Y. Vlasov,et al.  Losses in single-mode silicon-on-insulator strip waveguides and bends. , 2004, Optics express.

[5]  S. Mogg,et al.  Temperature sensitivity of the threshold current of long-wavelength InGaAs-GaAs VCSELs with large gain-cavity detuning , 2004, IEEE Journal of Quantum Electronics.

[6]  T. Baehr‐Jones,et al.  Analysis of the tuning sensitivity of silicon-on-insulator optical ring resonators , 2005, Journal of Lightwave Technology.

[7]  Jörg Henkel,et al.  H. 264 HDTV Decoder Using Application-Specific Networks-On-Chip , 2005, 2005 IEEE International Conference on Multimedia and Expo.

[8]  Multiphysics investigation of thermo-optic effect in silicon-on-insulator waveguide arrays , 2006 .

[9]  Benjamin G. Lee,et al.  Transmission of high-data-rate optical signals through a micrometer-scale silicon ring resonator. , 2006, Optics letters.

[10]  O. Dosunmu,et al.  Performance of Ge-on-Si p-i-n Photodetectors for Standard Receiver Modules , 2006 .

[11]  S. Koester,et al.  Temperature-Dependent Analysis of Ge-on-SOI Photodetectors and Receivers , 2006, 3rd IEEE International Conference on Group IV Photonics, 2006..

[12]  Chen Chen,et al.  Temperature Analysis of Threshold Current in Infrared Vertical-Cavity Surface-Emitting Lasers , 2006, IEEE Journal of Quantum Electronics.

[13]  Wei Huang,et al.  HotSpot—A Chip and Package Compact Thermal Modeling Methodology for VLSI Design , 2007 .

[14]  Rajeev J Ram,et al.  Low Power Thermal Tuning of Second-order Microring Resonators , 2007, 2007 Conference on Lasers and Electro-Optics (CLEO).

[15]  Ian O'Connor,et al.  System level assessment of an optical NoC in an MPSoC platform , 2007 .

[16]  Luca P. Carloni,et al.  On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[17]  Alyssa B. Apsel,et al.  On-Chip Optical Technology in Future Bus-Based Multicore Designs , 2007, IEEE Micro.

[18]  S. Xiao,et al.  Compact silicon microring resonators with ultra-low propagation loss in the C band. , 2007, Optics express.

[19]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[20]  S. Xiao,et al.  Modeling and measurement of losses in silicon-on-insulator resonators and bends. , 2007, Optics express.

[21]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[22]  G. Masini,et al.  A 1550nm, 10Gbps monolithic optical receiver in 130nm CMOS with integrated Ge waveguide photodetector , 2007, 2007 4th IEEE International Conference on Group IV Photonics.

[23]  F. Gan,et al.  Maximizing the Thermo-Optic Tuning Range of Silicon Photonic Structures , 2007, 2007 Photonics in Switching.

[24]  A Syrbu,et al.  10 Gbps VCSELs with High Single Mode Output in 1310nm and 1550 nm Wavelength Bands , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[25]  Zheng Wang,et al.  A novel optical mesh network-on-chip for gigascale systems-on-chip , 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

[26]  Zheng Wang,et al.  ODOR: a microresonator-based high-performance low-cost router for optical networks-on-Chip , 2008, CODES+ISSS '08.

[27]  Wei Zhang,et al.  A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[28]  Jason T. S. Liao,et al.  Optical I/O technology for tera-scale computing , 2009, ISSCC 2009.

[29]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[30]  Wei Zhang,et al.  A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[31]  Wei Zhang,et al.  UNION: A unified inter/intra-chip optical network for chip multiprocessors , 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

[32]  Wei Zhang,et al.  A Hierarchical Hybrid Optical-Electronic Network-on-Chip , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.