Adaptive thermal management for 3D ICs with stacked DRAM caches

We describe an adaptive thermal management system for 3D-ICs with stacked DRAM cache memories. We present a detailed analysis of the impact of 3D-IC hotspot aggregation on the refresh behavior of the stacked DRAM-based L3 cache. We also present the consequence of the refresh variation on the overall system performance and cache energy consumption. Our analysis demonstrates that memory intensive applications are influenced more strongly by the DRAM refresh variation. We show that there is an optimal operating point where, with a reduced clock frequency, processor cores would actually recover any performance loss induced by DRAM refresh and at the same time the cache energy consumption could be optimized. We propose a low overhead run-time method that can identify the best CPU frequency modulation factor to cool the system to minimize accelerated refresh rates in the DRAM caches. Our system can provide a customizable trade-off between performance of the processor and energy savings of the memory.

[1]  Dawei Li,et al.  Integrating thermocouple sensors into 3D ICs , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

[2]  Yu Zhang,et al.  An Approach for Adaptive DRAM Temperature and Power Management , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Babak Falsafi,et al.  Die-stacked DRAM caches for servers: hit ratio, latency, or bandwidth? have it all with footprint cache , 2013, ISCA.

[4]  Jason Cong,et al.  Thermal-aware cell and through-silicon-via co-placement for 3D ICs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[5]  Dawei Li,et al.  A methodology for power characterization of associative memories , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

[6]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[7]  Ravi Mahajan,et al.  On-chip cooling by superlattice-based thin-film thermoelectrics. , 2009, Nature nanotechnology.

[8]  Eric Rotenberg,et al.  Design of controller for L2 cache mapped in Tezzaron stacked DRAM , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

[9]  Yu Zhang,et al.  A power and temperature aware DRAM architecture , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[10]  Seda Ogrenci Memik Heat management in integrated circuits: On-chip and system-level monitoring and cooling , 2016 .

[11]  Sachin S. Sapatnekar,et al.  Thermal and Power Delivery Challenges in 3D ICs , 2010 .

[12]  Wei Wang,et al.  Microfluidic Cooling for Distributed Hot-Spots , 2016, 2016 IEEE 66th Electronic Components and Technology Conference (ECTC).

[13]  Nanning Zheng,et al.  3D DRAM Design and Application to 3D Multicore Systems , 2009, IEEE Design & Test of Computers.

[14]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[15]  Bruce Jacob,et al.  DRAM Refresh Mechanisms, Penalties, and Trade-Offs , 2016, IEEE Transactions on Computers.

[16]  Song Liu,et al.  Hardware/software techniques for DRAM thermal management , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[17]  Jie Meng,et al.  Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints , 2012, DAC Design Automation Conference 2012.

[18]  Tao Li,et al.  Exploring Phase Change Memory and 3D Die-Stacking for Power/Thermal Friendly, Fast and Durable Memory Architectures , 2009, 2009 18th International Conference on Parallel Architectures and Compilation Techniques.

[19]  Ankur Srivastava,et al.  Hybrid 3D-IC Cooling System Using Micro-fluidic Cooling and Thermal TSVs , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[20]  Houman Homayoun,et al.  Heterogeneous memory management for 3D-DRAM and external DRAM with QoS , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).