Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power Management

In today’s manycore processors, the energy loss of more than 20% may result from inherent inefficiencies of conventional power delivery system (PDS) design. By stacking multiple voltage domains in series to lower the step-down conversion ratio of the off-chip voltage regulator module (VRM) and reduce the energy loss along the path of the power delivery network (PDN), voltage stacking (VS) offers a novel alternative power delivery technique to fundamentally improve power delivery efficiency (PDE). However, VS suffers from aggravated supply voltage noise from the current imbalance, which hinders its adoption. In this article, we investigate practical VS implementation in manycore processors to improve PDE and achieve reliable performance, while maintaining compatibility with advanced power management techniques. We first present the system configuration of a voltage-stacked manycore processor. We then systematically characterize supply voltage noise in VS, identify global, and residual differential currents as its dominant contributors, and calculate the possible worst supply voltage noise. We next propose a hybrid voltage regulation solution, based on a charge-recycling off-chip voltage regulator and distributed integrated voltage regulators, to mitigate supply voltage noise effectively. We also study the compatibility of VS with higher-level power management techniques. Finally, the performance of a voltage-stacked GPU system is comprehensively evaluated. The simulation results show that our approach can achieve 93.5% PDE, reducing the power loss by 13.6% compared to conventional single-layer PDS.

[1]  Xin He,et al.  NeuADC: Neural Network-Inspired Synthesizable Analog-to-Digital Conversion , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Xin He,et al.  Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs , 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[3]  Jose Renau,et al.  GPU NTC Process Variation Compensation With Voltage Stacking , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Xuan Zhang,et al.  Efficient and Reliable Power Delivery in Voltage-Stacked Manycore System with Hybrid Charge-Recycling Regulators , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[5]  Jia Di,et al.  Asynchronous Circuit Stacking for Simplified Power Management , 2018, SoutheastCon 2018.

[6]  Andrew B. Kahng,et al.  Logic Design Partitioning for Stacked Power Domains , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Gu-Yeon Wei,et al.  Ivory: Early-stage design space exploration tool for integrated voltage regulators , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Jose Renau,et al.  Level shifter design for voltage stacking , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

[9]  Xuan Zhang,et al.  A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC–DC Converter , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Arjun Majumdar,et al.  A Low-Power Microcontroller in a 40-nm CMOS Using Charge Recycling , 2017, IEEE Journal of Solid-State Circuits.

[11]  Pablo Mendoza Ponce,et al.  Trade-off Study on Switched Capacitor Regulators for Implantable Medical Devices , 2017 .

[12]  Andrew B. Kahng,et al.  Floorplan and placement methodology for improved energy reduction in stacked power-domain design , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

[13]  Gu-Yeon Wei,et al.  A Fully Integrated Reconfigurable Switched-Capacitor DC-DC Converter With Four Stacked Output Channels for Voltage Stacking Applications , 2016, IEEE Journal of Solid-State Circuits.

[14]  Maneesha Gupta,et al.  A comparative study of various current mirror configurations: Topologies and characteristics , 2016, Microelectron. J..

[15]  Kofi A. A. Makinwa,et al.  A microcontroller with 96% power-conversion efficiency using stacked voltage domains , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

[16]  Ajay Kapoor,et al.  Lower power by voltage stacking: A fine-grained system design approach , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[17]  Jose Renau,et al.  SRAM voltage stacking , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[18]  Radu Teodorescu,et al.  EmerGPU: Understanding and mitigating resonance-induced voltage noise in GPU architectures , 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[19]  Puneet Gupta,et al.  Multi-story power distribution networks for GPUs , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[20]  Li Zhou,et al.  Core tunneling: Variation-aware voltage noise mitigation in GPUs , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[21]  Fernando Silveira,et al.  General Top/Bottom-Plate Charge Recycling Technique for Integrated Switched Capacitor DC-DC Converters , 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

[22]  Jose Renau,et al.  Managing Mismatches in Voltage Stacking with CoreUnfolding , 2016, ACM Trans. Archit. Code Optim..

[23]  Nuno Roma,et al.  Fast and Scalable Thread Migration for Multi-core Architectures , 2015, 2015 IEEE 13th International Conference on Embedded and Ubiquitous Computing.

[24]  Gu-Yeon Wei,et al.  A 16-core voltage-stacked system with an integrated switched-capacitor DC-DC converter , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[25]  Nanning Zheng,et al.  HEB: Deploying and managing hybrid energy buffers for improving datacenter efficiency and economy , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

[26]  Kevin Skadron,et al.  A cross-layer design exploration of charge-recycled power-delivery in many-layer 3D-IC , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[27]  Zhe Wang,et al.  An Analytical Study of Power Delivery Systems for Many-Core Processors Using On-Chip and Off-Chip Voltage Regulators , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Jingwen Leng,et al.  GPU voltage noise: Characterization and hierarchical smoothing of spatial and temporal voltage noise interference in GPU architectures , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[29]  Tejas S. Joshi,et al.  A Wide Range Level Shifter using a Self Biased Cascode Current Mirror With PTL based Buffer , 2015 .

[30]  Jun Zhou,et al.  Fast and energy-efficient low-voltage level shifters , 2015, Microelectron. J..

[31]  Enver Candan A series-stacked power delivery architecture with isolated converters for energy efficient data centers , 2014 .

[32]  Meeta Sharma Gupta,et al.  GPUVolt: Modeling and characterizing voltage noise in GPU architectures , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[33]  Gu-Yeon Wei,et al.  Evaluating Adaptive Clocking for Supply-Noise Resilience in Battery-Powered Aerial Microrobotic System-on-Chip , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

[34]  Jaydeep Kulkarni,et al.  A 0.45–1 V Fully-Integrated Distributed Switched Capacitor DC-DC Converter With High Density MIM Capacitor in 22 nm Tri-Gate CMOS , 2014, IEEE Journal of Solid-State Circuits.

[35]  Yuan-Hua Chu,et al.  A Wide-Range Level Shifter Using a Modified Wilson Current Mirror Hybrid Buffer , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

[36]  Gu-Yeon Wei,et al.  Supply-noise resilient adaptive clocking for battery-powered aerial microrobotic System-on-Chip in 40nm CMOS , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[37]  배병성,et al.  Threshold Voltage and IR drop compensation of an AMOLED Pixel Circuit without VDD line , 2013 .

[38]  Rong Ge,et al.  Effects of Dynamic Voltage and Frequency Scaling on a K20 GPU , 2013, 2013 42nd International Conference on Parallel Processing.

[39]  Gu-Yeon Wei,et al.  Characterizing and evaluating voltage noise in multi-core near-threshold processors , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[40]  Kazutami Arimoto,et al.  Low-Power On-Chip Charge-Recycling DC-DC Conversion Circuit and System , 2013, IEEE Journal of Solid-State Circuits.

[41]  Nam Sung Kim,et al.  GPUWattch: enabling energy optimizations in GPGPUs , 2013, ISCA.

[42]  Gu-Yeon Wei,et al.  Evaluation of voltage stacking for near-threshold multicore computing , 2012, ISLPED '12.

[43]  Mircea R. Stan,et al.  Breaking the power delivery wall using voltage stacking , 2012, GLSVLSI '12.

[44]  Lizy Kurian John,et al.  Automated di/dt stressmark generation for microprocessor power delivery networks , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[45]  Elad Alon,et al.  Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters , 2011, IEEE Journal of Solid-State Circuits.

[46]  Michael G. Pollitt,et al.  The Economics of Energy (and Electricity) Demand , 2011 .

[47]  Snorre Aunet,et al.  Low-power subthreshold to above threshold level shifters in 90 nm and 65 nm process , 2011, Microprocess. Microsystems.

[48]  Michael D. Smith,et al.  Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

[49]  Manoj Kumar,et al.  Level Shifter Design for Low Power Applications , 2010, International Journal of Computer Science and Information Technology.

[50]  Kevin Skadron,et al.  Rodinia: A benchmark suite for heterogeneous computing , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

[51]  Michael Douglas Seeman,et al.  A Design Methodology for Switched-Capacitor DC-DC Converters , 2009 .

[52]  Henry Wong,et al.  Analyzing CUDA workloads using a detailed GPU simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

[53]  Meeta Sharma Gupta,et al.  An event-guided approach to reducing voltage noise in processors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[54]  Meeta Sharma Gupta,et al.  Voltage emergency prediction: Using signatures to reduce operating margins , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[55]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[56]  John Keane,et al.  A multi-story power delivery technique for 3D integrated circuits , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[57]  Aeo,et al.  Annual Energy Outlook 2008: With Projections to 2030 , 2008 .

[58]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[59]  A. Balijepalli,et al.  CMOS-Compatible SOI MESFETs With High Breakdown Voltage , 2006, IEEE Transactions on Electron Devices.

[60]  R. Sanders,et al.  Analytical and Practical Analysis of Switched-Capacitor DC-DC Converters , 2006 .

[61]  Jie Gu,et al.  Multi-story power delivery for supply noise reduction and low voltage operation , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[62]  Kyoung-Hoi Koo,et al.  A new level-up shifter for high speed and wide range interface in ultra deep sub-micron , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[63]  S. Rajapandian,et al.  Implicit DC-DC downconversion through charge-recycling , 2005, IEEE Journal of Solid-State Circuits.

[64]  Vivek Tiwari,et al.  Microarchitectural simulation and control of di/dt-induced power supply voltage variation , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.