Scaling, Power and the Future of CMOS
暂无分享,去创建一个
[1] P. P. Gelsinger,et al. Performance and microarchitecture of the i486 processor , 1989, Proceedings 1989 IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[2] Sanjay Pant,et al. A self-tuning DVS processor using delay-error detection and correction , 2005, IEEE Journal of Solid-State Circuits.
[3] Bishop Brock,et al. A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling , 2002, IEEE J. Solid State Circuits.
[4] Alvin Cheung,et al. A new method for design of robust digital circuits , 2005, Sixth international symposium on quality electronic design (isqed'05).
[5] Marcel J. M. Pelgrom,et al. Matching properties of MOS transistors , 1989 .
[6] Tadahiro Kuroda,et al. Variable supply-voltage scheme for low-power high-speed CMOS digital design , 1998, IEEE J. Solid State Circuits.
[7] S. Asano,et al. The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[8] Philip N. Strenski,et al. Uncertainty-aware circuit optimization , 2002, DAC '02.
[9] B. Nauta,et al. A 3Gb/s/ch transceiver for RC-limited on-chip interconnects , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[10] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[11] S. Naffziger,et al. Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.
[12] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[13] A. Wang,et al. Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.
[14] M. Horowitz,et al. Efficient on-chip global interconnects , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).
[15] David Blaauw,et al. Energy optimization of subthreshold-voltage sensor network processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[16] S. Borkar,et al. Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[17] J. Yetter,et al. A 32-bit VLSI CPU with 15-MIPS peak performance , 1987 .
[18] Anantha Chandrakasan,et al. Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[19] R.H. Dennard,et al. Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.
[20] Anantha P. Chandrakasan,et al. Low-power CMOS digital design , 1992 .
[21] Pat Conway,et al. The AMD Opteron Processor for Multiprocessor Servers , 2003, IEEE Micro.
[22] Takahiro Seki,et al. Dynamic voltage and frequency management for a low-power embedded microprocessor , 2005, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[23] Philip N. Strenski,et al. Gradient-based optimization of custom circuits using a static-timing formulation , 1999, DAC '99.
[24] Barruquer Moner. IX. References , 1971 .
[25] Vivek De,et al. Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs , 2001, ISLPED '01.
[26] Kaushik Roy,et al. Mixed-Vth (MVT) CMOS circuit design methodology for low power applications , 1999, DAC '99.
[27] M. Horowitz,et al. Clocking and circuit design for a parallel I/O on a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[28] Y. Shimazaki,et al. A shared-well dual-supply-voltage 64-bit ALU , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..