Life-cycle energy demand and global warming potential of computational logic.

Computational logic, in the form of semiconductor chips of the complementary metal oxide semiconductor (CMOS) transistor structure, is used in personal computers, wireless devices, IT network infrastructure, and nearly all modem electronics. This study provides a life-cycle energy analysis for CMOS chips over 7 technology generations with the purpose of comparing energy demand and global warming potential (GWP) impacts of the life-cycle stages, examining trends in these impacts over time and evaluating their sensitivity to data uncertainty and changes in production metrics such as yield. A hybrid life-cycle assessment (LCA) model is used. While life-cycle energy and GWP of emissions have increased on the basis of a wafer or die, these impacts have been reducing per unit of computational power. Sensitivity analysis of the model shows that impacts have the highest relative sensitivity to wafer yield, line yield, and die size and largest absolute sensitivity to the use-phase power demand of the chip.

[1]  D. Meyersdorf,et al.  Simulation of test wafer consumption in a semiconductor facility , 1998, IEEE/SEMI 1998 IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop (Cat. No.98CH36168).

[2]  M. Blazek,et al.  Tale of two cities: environmental life cycle assessment for telecommunications systems: Stockholm, Sweden and Sacramento, CA , 1999, Proceedings of the 1999 IEEE International Symposium on Electronics and the Environment (Cat. No.99CH36357).

[3]  T. Kobayashi,et al.  Continuous and independent monitor wafer reduction in DRAM fab , 1999, 1999 IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings (Cat No.99CH36314).

[4]  Hiroshi Onishi,et al.  Evaluation of electronic components in life cycle assessment , 1999 .

[5]  E. Williams,et al.  The 1.7 kilogram microchip: energy and material use in the production of semiconductor devices. , 2002, Environmental science & technology.

[6]  S. Pacca,et al.  Greenhouse gas emissions from building and operating electric power plants in the Upper Colorado River Basin. , 2002, Environmental science & technology.

[7]  Seungdo Kim,et al.  Energy in chemical manufacturing processes: gate-to-gate information for life cycle assessment , 2003 .

[8]  A. Plepys,et al.  The environmental impacts of electronics. Going beyond the walls of semiconductor fabs , 2004, IEEE International Symposium on Electronics and the Environment, 2004. Conference Record. 2004.

[9]  Yi-Ming Chen,et al.  Test wafer control system in 300 mm FAB , 2004, 2004 Semiconductor Manufacturing Technology Workshop Proceedings (IEEE Cat. No.04EX846).

[10]  Fan-Tien Cheng,et al.  Application development of virtual metrology in semiconductor industry , 2005, 31st Annual Conference of IEEE Industrial Electronics Society, 2005. IECON 2005..

[11]  G. Linden,et al.  Offshoring in the Semiconductor Industry: A Historical Perspective , 2005 .

[12]  Arpad Horvath,et al.  Environmental Assessment of Freight Transportation in the U.S. (11 pp) , 2006 .

[13]  Arpad Horvath,et al.  Life Cycle Energy Assessment of Alternative Water Supply Systems (9 pp) , 2006 .

[14]  Chris Hendrickson,et al.  Environmental Life Cycle Assessment of Goods and Services: An Input-Output Approach , 2006 .

[15]  Vasilis Fthenakis,et al.  Greenhouse-gas emissions from solar electric-and nuclear power : A life-cycle study , 2007 .

[16]  Tieyong Zuo,et al.  Life cycle inventory for electricity generation in China , 2007 .

[17]  A. Horvath,et al.  Evaluation of life-cycle air emission factors of freight transportation. , 2007, Environmental science & technology.

[18]  John P. Norton Algebraic sensitivity analysis of environmental models , 2008, Environ. Model. Softw..

[19]  M. Prather,et al.  NF3, the greenhouse gas missing from Kyoto , 2008 .

[20]  Nikhil Krishnan,et al.  A hybrid life cycle inventory of nano-scale semiconductor manufacturing. , 2008, Environmental science & technology.

[21]  Nina Kshetry,et al.  Modeling China's semiconductor industry fluorinated compound emissions and drafting a roadmap for climate protection , 2008 .

[22]  E. Williams,et al.  Case studies in energy use to realize ultra-high purities in semiconductor manufacturing , 2008, 2008 IEEE International Symposium on Electronics and the Environment.

[23]  Hannah Hoag The missing greenhouse gas , 2008 .