Prevention: Tamper-Resistant Pin-Constrained Digital Microfluidic Biochips

The well-worn maxim that an ounce of prevention is worth a pound of cure certainly applies to the design of secure systems; security breaches are difficult to contain due to the speed, scale, and low-cost of information dissemination on the internet. When security breaches result in physical damage, the lost assets may be difficult or impossible to replace, e.g., DNA samples from a crime scene. This chapter develops techniques for the prevention of actuation tampering attacks on a cyberphysical microfluidic biochip by leveraging the inherent loss of control freedom from pin-constrained digital microfluidic biochips.

[1]  Krishnendu Chakrabarty,et al.  Reliability-oriented broadcast electrode-addressing for pin-constrained digital microfluidic biochips , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[2]  Fei Su,et al.  High-level synthesis of digital microfluidic biochips , 2008, JETC.

[3]  Teofilo F. GONZALEZ,et al.  Clustering to Minimize the Maximum Intercluster Distance , 1985, Theor. Comput. Sci..

[4]  Krishnendu Chakrabarty,et al.  Error Recovery in Cyberphysical Digital Microfluidic Biochips , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Philip Brisk,et al.  An open-source compiler and PCB synthesis tool for digital microfluidic biochips , 2015, Integr..

[6]  Tsung-Wei Huang,et al.  Progressive network-flow based power-aware broadcast addressing for pin-constrained digital microfluidic biochips , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Mohamed Ibrahim,et al.  Randomized Checkpoints: A Practical Defense for Cyber-Physical Microfluidic Systems , 2019, IEEE Design & Test.

[8]  Dakshi Agrawal,et al.  The EM Side-Channel(s) , 2002, CHES.

[9]  Yici Cai,et al.  Integrated Functional and Washing Routing Optimization for Cross-Contamination Removal in Digital Microfluidic Biochips , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Tsung-Yi Ho,et al.  An Optimal Pin-Count Design With Logic Optimization for Digital Microfluidic Biochips , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Daniel Teng,et al.  Digital microfluidic operations on micro-electrode array architecture , 2011, 2011 6th IEEE International Conference on Nano/Micro Engineered and Molecular Systems.

[12]  Ralph Langner,et al.  Stuxnet: Dissecting a Cyberwarfare Weapon , 2011, IEEE Security & Privacy.

[13]  Farinaz Koushanfar,et al.  BioChipWork: Reverse Engineering of Microfluidic Biochips , 2017, 2017 IEEE International Conference on Computer Design (ICCD).

[14]  Philip Brisk,et al.  A Low-Cost Field-Programmable Pin-Constrained Digital Microfluidic Biochip , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Jun Kwon Park,et al.  Fast and reliable droplet transport on single-plate electrowetting on dielectrics using nonfloating switching method. , 2010, Biomicrofluidics.

[16]  Mohamed Ibrahim,et al.  Secure Randomized Checkpointing for Digital Microfluidic Biochips , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Fadi H. Gebara,et al.  Introduction to special issue on reliability and device degradation in emerging technologies , 2014, JETC.

[18]  Krishnendu Chakrabarty,et al.  Integrated control-path design and error recovery in the synthesis of digital microfluidic lab-on-chip , 2010, JETC.

[19]  Tsung-Yi Ho,et al.  Reliability-Driven Chip-Level Design for High-Frequency Digital Microfluidic Biochips , 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Mohamed Ibrahim,et al.  Synthesis of Cyberphysical Digital-Microfluidic Biochips for Real-Time Quantitative Analysis , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Krishnendu Chakrabarty,et al.  Cross-contamination avoidance for droplet routing in digital microfluidic biochips , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[22]  Tsung-Wei Huang,et al.  A fast routability- and performance-driven droplet routing algorithm for digital microfluidic biochips , 2009, 2009 IEEE International Conference on Computer Design.

[23]  Philip Brisk,et al.  Fast Online Synthesis of Digital Microfluidic Biochips , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Satu Elisa Schaeffer,et al.  Graph Clustering , 2017, Encyclopedia of Machine Learning and Data Mining.

[25]  Philip Brisk,et al.  Interpreting Assays with Control Flow on Digital Microfluidic Biochips , 2014, ACM J. Emerg. Technol. Comput. Syst..

[26]  Krishnendu Chakrabarty,et al.  Broadcast Electrode-Addressing and Scheduling Methods for Pin-Constrained Digital Microfluidic Biochips , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Mohamed Ibrahim,et al.  Security Assessment of Cyberphysical Digital Microfluidic Biochips , 2016, IEEE/ACM Transactions on Computational Biology and Bioinformatics.