Energy-Efficient Subthreshold Processor Design
暂无分享,去创建一个
David Blaauw | Bo Zhai | Dennis Sylvester | Todd M. Austin | Sanjay Pant | Leyla Nazhandali | Scott Hanson | Anna Reeves | Javin Olson | Michael Minuth | Ryan Helfand | D. Blaauw | T. Austin | D. Sylvester | S. Hanson | L. Nazhandali | Bo Zhai | Sanjay Pant | J. Olson | A. Reeves | M. Minuth | R. Helfand | Anna Reeves | Ryan Helfand | Javin Olson | Michael Minuth
[1] A.P. Chandrakasan,et al. A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.
[2] Naveen Verma,et al. A 65nm Sub-Vt Microcontroller with Integrated SRAM and Switched-Capacitor DC-DC Converter , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[3] Kaushik Roy,et al. Ultra-low power DLMS adaptive filter for hearing aid applications , 2001, ISLPED '01.
[4] Anantha Chandrakasan,et al. Variation-Driven Device Sizing for Minimum Energy Sub-threshold Circuits , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[5] David Blaauw,et al. Energy optimization of subthreshold-voltage sensor network processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[6] David Blaauw,et al. Energy efficient near-threshold chip multi-processing , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[7] Anantha Chandrakasan,et al. Minimum Energy Tracking Loop with Embedded DC-DC Converter Delivering Voltages down to 250mV in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[8] A. Chandrakasan,et al. A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[9] Chee-Yee Chong,et al. Sensor networks: evolution, opportunities, and challenges , 2003, Proc. IEEE.
[10] Rajit Manohar,et al. An ultra low-power processor for sensor networks , 2004, ASPLOS XI.
[11] K.S.J. Pister,et al. An ultra-low energy microcontroller for Smart Dust wireless sensor networks , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[12] A.P. Chandrakasan,et al. Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering , 2006, IEEE Journal of Solid-State Circuits.
[13] Deborah Estrin,et al. A wireless sensor network For structural monitoring , 2004, SenSys '04.
[14] Mani Srivastava,et al. Energy efficient routing in wireless sensor networks , 2001, 2001 MILCOM Proceedings Communications for Network-Centric Operations: Creating the Information Force (Cat. No.01CH37277).
[15] David Blaauw,et al. Energy Optimization of Subthreshold-Voltage Sensor Network Processors , 2005, ISCA 2005.
[16] Kaushik Roy,et al. Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Roger M. Needham,et al. TEA, a Tiny Encryption Algorithm , 1994, FSE.
[18] David E. Culler,et al. System architecture for wireless sensor networks , 2003 .
[19] Naveen Verma,et al. A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[20] Kaushik Roy,et al. Robust subthreshold logic for ultra-low power operation , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[21] David Blaauw,et al. Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[22] David Blaauw,et al. Theoretical and practical limits of dynamic voltage scaling , 2004, Proceedings. 41st Design Automation Conference, 2004..
[23] A.P. Chandrakasan,et al. Minimum Energy Tracking Loop With Embedded DC–DC Converter Enabling Ultra-Low-Voltage Operation Down to 250 mV in 65 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[24] B. Otis,et al. PicoRadios for wireless sensor networks: the next challenge in ultra-low power design , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[25] John Anderson,et al. Wireless sensor networks for habitat monitoring , 2002, WSNA '02.
[26] Bo Zhai,et al. A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[27] A.P. Chandrakasan,et al. A 65 nm Sub-$V_{t}$ Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter , 2008, IEEE Journal of Solid-State Circuits.
[28] S. Okwit,et al. ON SOLID-STATE CIRCUITS. , 1963 .
[29] David Blaauw,et al. Ultralow-voltage, minimum-energy CMOS , 2006, IBM J. Res. Dev..
[30] Anantha P. Chandrakasan,et al. Subthreshold Circuit Techniques , 2004 .
[31] Jason Liu,et al. A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[32] A.P. Chandrakasan,et al. A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[33] Miodrag Potkonjak,et al. Processors for mobile applications , 2000, Proceedings 2000 International Conference on Computer Design.
[34] A. Wang,et al. Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.
[35] Y. Nakazawa,et al. An Optimal Supply Voltage Determiner Circuit for Minimum Energy Operations , 2007, 2007 IEEE Symposium on VLSI Circuits.