A thermal and process variation aware MTJ switching model and its applications in soft error analysis

Spin-transfer torque random access memory (STT-RAM) has recently gained increased attentions from circuit design and architecture societies. Although STT-RAM offers a good combination of small cell size, nanosecond access time and non-volatility for embedded memory applications, the reliability of STT-RAM is severely impacted by device variations and environmental disturbances. In this paper, we develop a compact switching model for magnetic tunneling junction (MTJ), which is the data storage device in STT-RAM cells. By leveraging the capability to simulate the impacts of thermal and process variations on MTJ switching, our model is able to analyze the diverse mechanisms of STT-RAM write operation failures. Besides the impacts of thermal and process variation, the soft error induced by radiation striking on the access transistor is another important threat to the MTJ reliability. It can also be analyzed by using our model. The incurred computation cost of our model is much less than the conventional macro-magnetic model, and hence, enabling its applications in comprehensive STT-RAM reliability analysis and design optimizations.

[1]  Malcolm H. Levitt,et al.  Demagnetization field effects in two‐dimensional solution NMR , 1996 .

[2]  Ming Zhang,et al.  A soft error rate analysis (SERA) methodology , 2004, ICCAD 2004.

[3]  Yiran Chen,et al.  Asymmetry of MTJ switching and its implication to STT-RAM designs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[4]  Jeffrey T. Draper,et al.  Critical Charge Characterization for Soft Error Rate Modeling in 90nm SRAM , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[5]  Yiran Chen,et al.  Design Margin Exploration of Spin-Torque Transfer RAM (SPRAM) , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[6]  Jonathan Z. Sun Spin-current interaction with a monodomain magnetic body: A model study , 2000 .

[7]  M. De Graef,et al.  Demagnetization factors for elliptic cylinders , 2005 .

[8]  Hiroshi Kano,et al.  Thermal activation effect on spin transfer switching in magnetic tunnel junctions , 2005 .

[9]  Rajiv V. Joshi,et al.  Universal statistical cure for predicting memory loss , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[10]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of Spin-Torque Transfer Magnetic Random Access Memory (STT MRAM) array for yield enhancement , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[11]  Yiran Chen,et al.  A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[12]  Yu (Kevin) Cao,et al.  What is Predictive Technology Model (PTM)? , 2009, SIGD.

[13]  Jae-Joon Kim,et al.  Analysis and Optimization of Thermal Effect on STT-RAM Based 3-D Stacked Cache Design , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[14]  R.C. Baumann,et al.  Radiation-induced soft errors in advanced semiconductor technologies , 2005, IEEE Transactions on Device and Materials Reliability.

[15]  Slonczewski Jc,et al.  Conductance and exchange coupling of two ferromagnets separated by a tunneling barrier. , 1989 .

[16]  J.M. Gonzalez,et al.  Systematic study of the temperature dependence of the saturation magnetization in Fe, Fe-Ni and Co - based amorphous alloys , 1989, International Magnetics Conference.

[17]  J. Katine,et al.  Time-resolved reversal of spin-transfer switching in a nanomagnet. , 2004, Physical review letters.

[18]  Slonczewski Conductance and exchange coupling of two ferromagnets separated by a tunneling barrier. , 1989, Physical review. B, Condensed matter.

[19]  Arijit Raychowdhury,et al.  Design space and scalability exploration of 1T-1STT MTJ memory arrays in the presence of variability and disturbances , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[20]  Mircea R. Stan,et al.  Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM) , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[21]  Yiran Chen,et al.  Nonpersistent Errors Optimization in Spin-MOS Logic and Storage Circuitry , 2011, IEEE Transactions on Magnetics.

[22]  Yiran Chen,et al.  Spin Torque Random Access Memory Down to 22 nm Technology , 2008, IEEE Transactions on Magnetics.

[23]  Robert C. O'Handley,et al.  Model for strain and magnetization in magnetic shape-memory alloys , 1998 .