iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing

In scan-based tests, power consumptions in both shift and capture phases may be significantly higher than that in normal mode, which threatens circuits' reliability during manufacturing test. In this paper, by analyzing the impact of X-bits on circuit switching activities, we present an X-filling technique that can decrease both shift- and capture-power to guarantees the reliability of scan tests, called iFill. Moreover, different from prior work on X-filling for shift-power reduction which can only reduce shift-in power, iFill is able to decrease power consumptions during both shift-in and shift-out. Experimental results on ISCAS'89 benchmark circuits show the effectiveness of the proposed technique.

[1]  Guido Gronthoud,et al.  Power Supply Noise in Delay Testing , 2006, 2006 IEEE International Test Conference.

[2]  Irith Pomeranz,et al.  On test generation for transition faults with minimized peak power dissipation , 2004, Proceedings. 41st Design Automation Conference, 2004..

[3]  Xiaowei Li,et al.  A Scan Chain Adjustment Technology for Test Power Reduction , 2006, 2006 15th Asian Test Symposium.

[4]  Irith Pomeranz,et al.  Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs , 2006, 2006 IEEE International Test Conference.

[5]  Kozo Kinoshita,et al.  Low-capture-power test generation for scan-based at-speed testing , 2005, IEEE International Conference on Test, 2005..

[6]  Kaushik Roy,et al.  Low-power scan design using first-level supply gating , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Nur A. Touba,et al.  Reducing power dissipation during test using scan chain disable , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[8]  Irith Pomeranz,et al.  Low Shift and Capture Power Scan Tests , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[9]  Kenneth M. Butler,et al.  A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[10]  Sandeep K. Gupta,et al.  ATPG for heat dissipation minimization during test application , 1994, Proceedings., International Test Conference.

[11]  Atul K. Jain,et al.  Minimizing power consumption in scan testing: pattern generation and DFT techniques , 2004 .

[12]  Patrick Girard,et al.  Efficient scan chain design for power minimization during scan testing under routing constraint , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[13]  Qiang Xu,et al.  Pattern-directed circuit virtual partitioning for test power reduction , 2007, 2007 IEEE International Test Conference.

[14]  Xiaoqing Wen,et al.  A Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation , 2006, 2006 International Conference on Computer Design.

[15]  Lee Whetsel,et al.  Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[16]  Bashir M. Al-Hashimi,et al.  Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Janak H. Patel,et al.  Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[18]  Nur A. Touba,et al.  Controlling peak power during scan testing , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[19]  Nur A. Touba,et al.  Static compaction techniques to control scan vector power dissipation , 2000, Proceedings 18th IEEE VLSI Test Symposium.