An Integrated Ultra-Wideband Timed Array Receiver in 0.13 $\mu{\hbox{m}}$ CMOS Using a Path-Sharing True Time Delay Architecture

A fully integrated CMOS ultra-wideband 4-channel timed array receiver for high-resolution imaging application is presented. A path-sharing true time delay architecture is implemented to reduce the chip area for integrated circuits. The true time delay resolution is 15 ps and the maximum delay is 225 ps. The receiver provides 11 scan angles with almost 9 degrees of spatial resolution for an antenna spacing of 3 cm. The design bandwidth is from 1 to 15 GHz corresponding to less than 1 cm depth resolution in free space. The chip is implemented in 0.13 mum CMOS with eight metal layers, and the chip size is 3.1 mm by 3.2 mm. Measurement results for the standalone CMOS chip as well as the integrated planar antenna array and the CMOS chip are reported.

[1]  H.J. Chaloupka,et al.  On signal distortion in compact UWB arrays due to element interaction , 2005, 2005 IEEE Antennas and Propagation Society International Symposium.

[2]  I. Guvenc,et al.  TOA estimation with different IR-UWB transceiver types , 2005, 2005 IEEE International Conference on Ultra-Wideband.

[3]  M.G.M. Hussain,et al.  Ultra-wideband impulse radar-an overview of the principles , 1998 .

[4]  S. Kashyap,et al.  Time-domain array factor for UWB antenna array , 2003 .

[5]  Malek G. M. Hussain,et al.  Principles of space-time array processing for ultrawide-band impulse radar and radio communications , 2002, IEEE Trans. Veh. Technol..

[6]  Malek G. M. Hussain Antenna patterns of nonsinusoidal waves with the time variation of a Gaussian pulse. III , 1988 .

[7]  Malek G. M. Hussain,et al.  Antenna patterns of nonsinusoidal waves with the time variation of a Gaussian pulse. III , 1988 .

[8]  G. Franceschetti,et al.  Timed arrays in a nutshell , 2005, IEEE Transactions on Antennas and Propagation.

[9]  I. Guvenc,et al.  TOA estimation for IR-UWB systems with different transceiver types , 2006, IEEE Transactions on Microwave Theory and Techniques.

[10]  Choi Look Law,et al.  Transfer function characterization of UWB antennas based on frequency domain measurement , 2005, The European Conference on Wireless Technology, 2005..

[11]  A. Rabbachin,et al.  A low-complexity noncoherent IR-UWB transceiver architecture with TOA estimation , 2006, IEEE Transactions on Microwave Theory and Techniques.

[12]  T.M. Weller,et al.  Design and modeling of 4-bit slow-wave MEMS phase shifters , 2006, IEEE Transactions on Microwave Theory and Techniques.

[13]  D. Parker,et al.  Phased arrays - part 1: theory and architectures , 2002 .

[14]  A. Hajimiri,et al.  Bandwidth enhancement for transimpedance amplifiers , 2004, IEEE Journal of Solid-State Circuits.

[15]  R. Mihailovich,et al.  A DC-to-40 GHz four-bit RF MEMS true-time delay network , 2001, IEEE Microwave and Wireless Components Letters.

[16]  S. Nadarajah Comments on "TOA Estimation for IR-UWB Systems With Different Transceiver Types" , 2007 .

[17]  G.F. Ross,et al.  Time-domain electromagnetics and its applications , 1978, Proceedings of the IEEE.

[18]  C.L. Law,et al.  Low-cost PCB antenna for UWB applications , 2005, IEEE Antennas and Wireless Propagation Letters.

[19]  L. D. DiDomenico A comparison of time versus frequency domain antenna patterns , 2002 .

[20]  S. Gruszczynski,et al.  Design of Compensated Coupled-Stripline 3-dB Directional Couplers, Phase Shifters, and Magic-T's—Part II: Broadband Coupled-Line Circuits , 2006, IEEE Transactions on Microwave Theory and Techniques.

[21]  W. Christensen,et al.  Ultra-wideband beamforming in sparse arrays , 1991 .

[22]  H. Hashemi,et al.  A fully integrated 24 GHz 8-path phased-array receiver in silicon , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

[23]  A. Hajimiri,et al.  A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Receiver and Antennas , 2006, IEEE Journal of Solid-State Circuits.

[24]  W.L. Melvin,et al.  A STAP overview , 2004, IEEE Aerospace and Electronic Systems Magazine.

[25]  Robert A. York,et al.  Distributed analog phase shifters with low insertion loss , 1999 .

[26]  F. Ellinger,et al.  Varactor-loaded transmission-line phase shifter at C-band using lumped elements , 2003 .

[27]  Gabriel M. Rebeiz,et al.  A 12-GHz SiGe phase shifter with integrated LNA , 2005, IEEE Transactions on Microwave Theory and Techniques.

[28]  J. Roderick,et al.  Silicon-Based Ultra-Wideband Beam-Forming , 2006, IEEE Journal of Solid-State Circuits.

[29]  Ian Oppermann,et al.  Modelling and Simulation of a Non-Coherent IR UWB Transceiver Architecture with ToA Estimation , 2006, 2006 IEEE 17th International Symposium on Personal, Indoor and Mobile Radio Communications.

[30]  D. Parker,et al.  Microwave industry outlook - defense applications , 2002 .

[31]  Gabriel M. Rebeiz,et al.  Distributed MEMS true-time delay phase shifters and wide-band switches , 1998 .