Exploring Hypermedia Processor Design Space

Distributed hypermedia systems that support collaboration are important emerging tools for creation, discovery, management and delivery of information. These systems are becoming increasingly desired and practical as other areas of information technologies advance. A framework is developed for efficiently exploring the hypermedia design space while intelligently capitalizing on tradeoffs between performance and area. We focus on a category of processors that are programmable yet optimized to a hypermedia application.The key components of the framework presented in this paper are a retargetable instruction-level parallelism compiler, instruction level simulators, a set of complete media applications written in a high level language, and a media processor synthesis algorithm. The framework addresses the need for efficient use of silicon by exploiting the instruction-level parallelism found in media applications by compilers that target multiple-instruction-issue processors.Using the developed framework we conduct an extensive exploration of the design space for a hypermedia application. We find that there is enough instruction-level parallelism in the typical media and communication applications to achieve highly concurrent execution when throughput requirements are high. On the other hand, when throughput requirements are low, there is little value in multiple-instruction-issue processors. Increased area does not improve performance enough to justify the use of multiple-instruction-issue processors when throughput requirements are low.The framework introduced in this paper is valuable in making early architecture design decisions such as cache and issue width trade-off when area is constrained, and the number of branch units and instruction issue width.

[1]  Miodrag Potkonjak,et al.  Heuristic techniques for synthesis of hard real-time DSP application specific systems , 1996, 1996 IEEE International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings.

[2]  Randall H. Trigg,et al.  Design issues for a Dexter-based hypermedia system , 1994, CACM.

[3]  Gert Goossens,et al.  Embedded software in real-time signal processing systems: design technologies , 1997, Proc. IEEE.

[4]  Ernest A. Edmonds,et al.  Support for collaborative design: agents and emergence , 1994, CACM.

[5]  Peter Marwedel,et al.  Processor-core based design and test , 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

[6]  T. H. Nelson,et al.  Complex information processing: a file structure for the complex, the changing and the indeterminate , 1965, ACM '65.

[7]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[8]  Uri C. Weiser,et al.  MMX technology extension to the Intel architecture , 1996, IEEE Micro.

[9]  Miodrag Potkonjak,et al.  Heterogeneous built-in resiliency of application specific programmable processors , 1996, ICCAD 1996.

[10]  Sharad Malik,et al.  Instruction set design and optimizations for address computation in DSP architectures , 1996, Proceedings of 9th International Symposium on Systems Synthesis.

[11]  Peyraud Ap,et al.  Who is a D.A. , 1970 .

[12]  Michael D. Smith,et al.  Geust Editorial: Media processing: a new design target , 1996, IEEE Micro.

[13]  Kurt Keutzer,et al.  Instruction selection using binate covering for code size optimization , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[14]  Scott Mahlke,et al.  Effective compiler support for predicated execution using the hyperblock , 1992, MICRO 1992.

[15]  Joseph A. Fisher,et al.  Trace Scheduling: A Technique for Global Microcode Compaction , 1981, IEEE Transactions on Computers.

[16]  F. Colaitis,et al.  Standardizing hypermedia information objects , 1992, IEEE Communications Magazine.

[17]  M. Kessler Distributed hypermedia , 1995, Proceedings of Southcon '95.

[18]  Michael J. Flynn,et al.  Computer Architecture: Pipelined and Parallel Processor Design , 1995 .

[19]  Miodrag Potkonjak,et al.  Synthesis of Hard Real-Time Application Specific Systems , 1999, Des. Autom. Embed. Syst..

[20]  Richard T. Witek,et al.  A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[21]  Vannevar Bush,et al.  As we may think , 1945, INTR.

[22]  Paolo Faraboschi,et al.  Custom-fit processors: letting applications define architectures , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.

[23]  Shane Greenstein The software industry through an academic looking glass , 1997, IEEE Micro.

[24]  Thomas M. Conte,et al.  Treegion Scheduling for Highly Parallel Processors , 1997, Euro-Par.

[25]  Paul Kalapathy Hardware-software interactions on Mpact , 1997, IEEE Micro.

[26]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[27]  Miodrag Potkonjak,et al.  Cost optimization in ASIC implementation of periodic hard-real time systems using behavioral synthesis techniques , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[28]  T. C. May,et al.  Instruction-set matching and selection for DSP and ASIP code generation , 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

[29]  R. K. Shyamasundar,et al.  Introduction to algorithms , 1996 .

[30]  Tim Berners-Lee,et al.  The World-Wide Web , 1994, CACM.

[31]  Dick C. A. Bulterman,et al.  The Amsterdam hypermedia model: adding time and context to the Dexter model , 1994, CACM.

[32]  Sumedh W. Sathaye,et al.  A technique to determine power-efficient, high-performance superscalar processors , 1995, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences.

[33]  Thomas M. Conte,et al.  Determining cost-effective multiple issue processor designs , 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

[34]  Sharad Malik,et al.  Memory bank and register allocation in software synthesis for ASIPs , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[35]  Lotfi A. Zadeh,et al.  Fuzzy logic, neural networks, and soft computing , 1993, CACM.

[36]  G. Halasz Frank,et al.  Reflections on NoteCards: seven issues for the next generation of hypermedia systems , 1987, CACM.

[37]  Tim Berners-Lee,et al.  World-Wide Web: The Information Universe , 1992, Electron. Netw. Res. Appl. Policy.

[38]  Wen-mei W. Hwu,et al.  IMPACT: an architectural framework for multiple-instruction-issue processors , 1991, [1991] Proceedings. The 18th Annual International Symposium on Computer Architecture.

[39]  Frank G. Halasz Reflections on NoteCards: seven issues for the next generation of hypermedia systems , 2001, AJCD.

[40]  Frank Kappe,et al.  Hyper-G: A Universal Hypermedia System. , 1993 .

[41]  Miodrag Potkonjak,et al.  Computer Aided Design of Fault-Tolerant Application Specific Programmable Processors , 2000, IEEE Trans. Computers.

[42]  Edward S. Davidson,et al.  Highly concurrent scalar processing , 1986, ISCA 1986.

[43]  Christoforos E. Kozyrakis,et al.  A case for intelligent RAM , 1997, IEEE Micro.

[44]  Wei Zhao,et al.  An evolution programming approach on multiple behaviors for the design of application specific programmable processors , 1996, Proceedings ED&TC European Design and Test Conference.

[45]  Robert P. Colwell,et al.  A VLIW architecture for a trace scheduling compiler , 1987, ASPLOS.

[46]  Sally A. McKee,et al.  Dynamic Access Ordering for Streamed Computations , 2000, IEEE Trans. Computers.

[47]  Gert Goossens,et al.  Embedded software in real-time signal processing systems: application and architecture trends , 1997 .

[48]  G. G. Stokes "J." , 1890, The New Yale Book of Quotations.

[49]  Tim Berners-Lee,et al.  The world-wide web : Internet technology , 1994 .

[50]  Craig Hansen MicroUnity's MediaProcessor architecture , 1996, IEEE Micro.

[51]  Rainer Leupers,et al.  Retargetable generation of code selectors from HDL processor models , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[52]  John J. Leggett,et al.  Viewing Dexter with open eyes , 1994, CACM.