A Game-Theoretic Approach for Run-Time Distributed Optimization on MP-SoC
暂无分享,去创建一个
Fabien Clermidy | Pascal Benoit | Lionel Torres | Diego Puschini | Gilles Sassatelli | F. Clermidy | L. Torres | G. Sassatelli | P. Benoit | D. Puschini
[1] Jared L. Cohon,et al. Multiobjective programming and planning , 2004 .
[2] Francesco Poletti,et al. Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chip , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[3] Stephen P. Boyd,et al. Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[4] Mahmut T. Kandemir,et al. Locality-aware process scheduling for embedded MPSoCs , 2005, Design, Automation and Test in Europe.
[5] Michel Robert,et al. Application Case Studies on HS-Scale, a MP-SOC for Embbeded Systems , 2007, 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.
[6] J. Neumann,et al. Theory of games and economic behavior , 1945, 100 Years of Math Milestones.
[7] Christian Bernard,et al. A Telecom Baseband Circuit based on an Asynchronous Network-on-Chip , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[8] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[9] Fernando Gehm Moraes,et al. Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs , 2007, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07).
[10] N. Ranganathan,et al. Simultaneous interconnect delay and crosstalk noise optimization through gate sizing using game theory , 2006, IEEE Transactions on Computers.
[11] Markus Rupp,et al. Task Scheduling for Power Optimisation of Multi Frequency Synchronous Data Flow Graphs , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.
[12] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[13] Kang G. Shin,et al. Real-time dynamic voltage scaling for low-power embedded operating systems , 2001, SOSP.
[14] Narayanan Vijaykrishnan,et al. Hotspot prevention through runtime reconfiguration in network-on-chip , 2005, Design, Automation and Test in Europe.
[15] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, ISCA 2006.
[16] J. Nash,et al. NON-COOPERATIVE GAMES , 1951, Classics in Game Theory.
[17] Tajana Simunic,et al. Temperature Aware Task Scheduling in MPSoCs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[18] Radu Marculescu,et al. Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[19] Fabien Clermidy,et al. Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).
[20] Fabien Clermidy,et al. Temperature-Aware Distributed Run-Time Optimization on MP-SoC Using Game Theory , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.
[21] Radu Marculescu,et al. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[22] Michel Robert,et al. HS-Scale: a Hardware-Software Scalable MP-SOC Architecture for embedded Systems , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).
[23] H. Corporaal,et al. Fast Multi-Dimension Multi-Choice Knapsack Heuristic for MP-SoC Run-Time Management , 2006, 2006 International Symposium on System-on-Chip.
[24] Jeffrey W. Herrmann,et al. A genetic algorithm for minimax optimization problems , 1999, Proceedings of the 1999 Congress on Evolutionary Computation-CEC99 (Cat. No. 99TH8406).
[25] Mahmut T. Kandemir,et al. Locality-conscious workload assignment for array-based computations in MPSOC architectures , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[26] Luca Benini,et al. Application-specific power-aware workload allocation for voltage scalable MPSoC platforms , 2005, 2005 International Conference on Computer Design.
[27] Diana Marculescu,et al. Speed and voltage selection for GALS systems based on voltage/frequency islands , 2005, ASP-DAC.
[28] Grant Martin,et al. Overview of the MPSoC design challenge , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[29] Ariel Rubinstein,et al. A Course in Game Theory , 1995 .
[30] Chantal Ykman-Couvreur,et al. Pareto-Based Application Specification for MP-SoC Customized Run-Time Management , 2006, 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.
[31] H. Corporaal,et al. Design-Time Application Exploration for MP-SoC Customized Run-Time Management , 2005, 2005 International Symposium on System-on-Chip.
[32] Narayanan Vijaykrishnan,et al. Thermal-aware IP virtualization and placement for networks-on-chip architecture , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..