Cache Memory Design With Magnetic Skyrmions in a Long Nanotrack

Magnetic skyrmion (MS), a vortexlike region with reversed magnetization in nanomagnets, has recently emerged as an exciting development in the field of spintronics. It has a number of beneficial features, including remarkably high stability, ultralow depinning current density, and extremely compact size. Due to these benefits, skyrmions have generated great interest in the design of spintronic memory. In this paper, we evaluate the use of skyrmion-based memory as a last-level cache for general-purpose processors. In the skyrmion-based memory structure, data can be densely packed as multiple bits in a long magnetic nanotrack. Write operations are performed by injecting a spin-polarized current in the nanotrack. Since multiple skyrmions (each representing a bit) are packed into a single nanotrack, they need to be accessed by shifting them along the nanotrack with a charge current passing through a spin-Hall metal (SHM). We identify the following key challenges associated with MS-based cache design: 1) the high-current requirements for skyrmion nucleation limit the density benefits offered by these structures, since the transistor supplying write currents is the limiting factor that determines the bit-cell area; 2) the proposed nanotrack structure results in significant performance overheads due to the latency arising from the shift operations; 3) the skyrmions move toward the edge of the nanotrack during shift operations owing to the Magnus force. Hence, an additional idle operation time is required to relax skyrmions back through the repulsive force from the edge; and 4) to avoid annihilation of skyrmions from the edge, the duration and the current density of the shift operation have to be well controlled. To overcome these challenges, a multi-bit skyrmion cell with appropriate peripheral circuit is proposed, considering the heterogeneity in the read/write characteristics. The density benefits are explored by performing the layout of different multi-bit cells. We perform a systematic device-circuit-architecture co-design to evaluate the feasibility of our proposal. Our experiments demonstrate the potential of, and the challenges involved in, using skyrmion-based memory as last-level caches.

[1]  F. García-Sánchez,et al.  The design and verification of MuMax3 , 2014, 1406.7635.

[2]  B. Diény,et al.  Creep and flow regimes of magnetic domain-wall motion in ultrathin Pt/Co/Pt films with perpendicular anisotropy. , 2007, Physical review letters.

[3]  S. Heinze,et al.  Spontaneous atomic-scale magnetic skyrmion lattice in two dimensions , 2011 .

[4]  Wenqing Wu,et al.  Cross-layer racetrack memory design for ultra high density and low power consumption , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[5]  A. Thiele Steady-State Motion of Magnetic Domains , 1973 .

[6]  A. Fert,et al.  Skyrmions on the track. , 2013, Nature nanotechnology.

[7]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[8]  S. Parkin,et al.  Magnetic Domain-Wall Racetrack Memory , 2008, Science.

[9]  C. Reichhardt,et al.  Quantized transport for a skyrmion moving on a two-dimensional periodic substrate , 2015, 1501.04126.

[10]  Kaushik Roy,et al.  STAG: Spintronic-Tape Architecture for GPGPU cache hierarchies , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[11]  D. Ralph,et al.  Spin-torque ferromagnetic resonance induced by the spin Hall effect. , 2010, Physical review letters.

[12]  Kaushik Roy,et al.  TapeCache: a high density, energy efficient cache based on domain wall memory , 2012, ISLPED '12.

[13]  G. Finocchio,et al.  A strategy for the design of skyrmion racetrack memories , 2014, Scientific Reports.

[14]  Robert Perricone,et al.  Advanced spintronic memory and logic for non-volatile processors , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[15]  J. Xia,et al.  An Improved Racetrack Structure for Transporting a Skyrmion , 2017, Scientific Reports.

[16]  Teresa B. Ludermir,et al.  Pinning of magnetic skyrmions in a monolayer Co film on Pt(111): Theoretical characterization and exemplified utilization , 2017 .

[17]  Y. Tokura,et al.  Real-space observation of a two-dimensional skyrmion crystal , 2010, Nature.

[18]  Carles Navau,et al.  Interaction of isolated skyrmions with point and linear defects , 2018, Journal of Magnetism and Magnetic Materials.

[19]  Xuanyao Fong,et al.  KNACK: A hybrid spin-charge mixed-mode simulator for evaluating different genres of spin-transfer torque MRAM bit-cells , 2011, 2011 International Conference on Simulation of Semiconductor Processes and Devices.

[20]  Yiran Chen,et al.  Process variation aware data management for magnetic skyrmions racetrack memory , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

[21]  W. Lew,et al.  Mitigation of magnus force in current-induced skyrmion dynamics , 2015, 2015 IEEE Magnetics Conference (INTERMAG).

[22]  A. Fert,et al.  Electrical detection of single magnetic skyrmions in metallic multilayers at room temperature , 2018, Nature Nanotechnology.

[23]  Y. Tokura,et al.  Near room-temperature formation of a skyrmion crystal in thin-films of the helimagnet FeGe. , 2011, Nature materials.

[24]  Kaushik Roy,et al.  DWM-TAPESTRI - An energy efficient all-spin cache using domain wall shift based writes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[25]  P. Böni,et al.  Skyrmion Lattice in a Chiral Magnet , 2009, Science.

[26]  C. Reichhardt,et al.  Noise fluctuations and drive dependence of the skyrmion Hall effect in disordered systems , 2016, 1605.01427.

[27]  Hans Fangohr,et al.  Skyrmion-skyrmion and skyrmion-edge repulsions in skyrmion-based racetrack memory , 2014, Scientific Reports.

[28]  Y Suzuki,et al.  Micromagnetic understanding of current-driven domain wall motion in patterned nanowires , 2005 .

[29]  S. Heinze,et al.  Electrical detection of magnetic skyrmions by tunnelling non-collinear magnetoresistance. , 2015, Nature nanotechnology.

[30]  I. Dzyaloshinsky A thermodynamic theory of “weak” ferromagnetism of antiferromagnetics , 1958 .

[31]  R. Wiesendanger Nanoscale magnetic skyrmions in metallic films and multilayers: a new twist for spintronics , 2016 .

[32]  T. Moriya New Mechanism of Anisotropic Superexchange Interaction , 1960 .

[33]  C. Reichhardt,et al.  Reversible vector ratchets for skyrmion systems , 2016, 1609.08206.

[34]  Kaushik Roy,et al.  DyReCTape: A dynamically reconfigurable cache using domain wall memory tapes , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[35]  A. Fert,et al.  Nucleation, stability and current-induced motion of isolated magnetic skyrmions in nanostructures. , 2013, Nature nanotechnology.

[36]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[37]  Benjamin Krueger,et al.  Proposal for a standard problem for micromagnetic simulations including spin-transfer torque , 2009 .

[38]  Y. Tokura,et al.  Topological properties and dynamics of magnetic skyrmions. , 2013, Nature nanotechnology.

[39]  C. Reichhardt,et al.  Magnus-induced ratchet effects for skyrmions interacting with asymmetric substrates , 2015, 1505.02197.