TWiCe: Preventing Row-hammering by Exploiting Time Window Counters
暂无分享,去创建一个
G. Edward Suh | Jung Ho Ahn | Sukhan Lee | Eojin Lee | Ingab Kang | G. Suh | Sukhan Lee | Eojin Lee | Ingab Kang
[1] John L. Henning. SPEC CPU2006 memory footprint , 2007, CARN.
[2] O Seongil,et al. Defect Analysis and Cost-Effective Resilience Architecture for Future DRAM Devices , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[3] Lizy Kurian John,et al. Minimalist open-page: A DRAM page-mode scheduling policy for the many-core era , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[4] A. Azzouz. 2011 , 2020, City.
[5] Christoforos E. Kozyrakis,et al. Heracles: Improving resource efficiency at scale , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[6] Bruce Jacob,et al. DRAM Refresh Mechanisms, Penalties, and Trade-Offs , 2016, IEEE Transactions on Computers.
[7] Jung Ho Ahn,et al. Multicore DIMM: an Energy Efficient Memory Module with Independently Controlled DRAMs , 2009, IEEE Computer Architecture Letters.
[8] Masashi Horiguchi,et al. Nanoscale Memory Repair , 2011, Integrated Circuits and Systems.
[9] Dae-Hyun Kim,et al. Architectural Support for Mitigating Row Hammering in DRAM Memories , 2015, IEEE Computer Architecture Letters.
[10] Sukhan Lee,et al. CiDRA: A cache-inspired DRAM resilience architecture , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[11] Kyungbae Park,et al. Experiments and root cause analysis for active-precharge hammering fault in DDR3 SDRAM under 3 × nm technology , 2016, Microelectron. Reliab..
[12] Ravi Iyer,et al. Cache QoS: From concept to reality in the Intel® Xeon® processor E5-2600 v3 product family , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[13] Daniel Sánchez,et al. Tailbench: a benchmark suite and evaluation methodology for latency-critical applications , 2016, 2016 IEEE International Symposium on Workload Characterization (IISWC).
[14] Miguel Rodriguez,et al. Zen: An Energy-Efficient High-Performance $\times $ 86 Core , 2018, IEEE Journal of Solid-State Circuits.
[15] Bruce Jacob,et al. Memory Systems: Cache, DRAM, Disk , 2007 .
[16] Thomas Yang,et al. Trap-Assisted DRAM Row Hammer Effect , 2019, IEEE Electron Device Letters.
[17] Chris Fallin,et al. Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[18] Onur Mutlu,et al. Parallelism-Aware Batch Scheduling: Enhancing both Performance and Fairness of Shared DRAM Systems , 2008, 2008 International Symposium on Computer Architecture.
[19] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[20] Yanick Fratantonio,et al. Drammer: Deterministic Rowhammer Attacks on Mobile Platforms , 2016, CCS.
[21] Michael C. Huang,et al. L1 data cache decomposition for energy efficiency , 2001, ISLPED '01.
[22] Sukhan Lee,et al. TWiCe: Time Window Counter Based Row Refresh to Prevent Row-Hammering , 2018, IEEE Computer Architecture Letters.
[23] Todd M. Austin,et al. When good protections go bad: Exploiting anti-DoS measures to accelerate rowhammer attacks , 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[24] Rami G. Melhem,et al. Counter-Based Tree Structure for Row Hammering Mitigation in DRAM , 2017, IEEE Computer Architecture Letters.
[25] Efraim Rotem,et al. Inside 6th-Generation Intel Core: New Microarchitecture Code-Named Skylake , 2017, IEEE Micro.
[26] Sungjoo Yoo,et al. Making DRAM stronger against row hammering , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[27] Youtao Zhang,et al. DrMP: Mixed Precision-Aware DRAM for High Performance Approximate and Precise Computing , 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[28] A Memo on Exploration of SPLASH-2 Input Sets , 2011 .
[29] Onur Mutlu,et al. RowHammer: A Retrospective , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[30] Feng Lin,et al. DRAM Circuit Design: Fundamental and High-Speed Topics , 2007 .
[31] Rami G. Melhem,et al. Mitigating Wordline Crosstalk Using Adaptive Trees of Counters , 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).
[32] Jung Ho Ahn,et al. Chameleon: Versatile and practical near-DRAM acceleration architecture for large memory systems , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[33] Ronald N. Kalla,et al. IBM Power9 Processor Architecture , 2017, IEEE Micro.
[34] O Seongil,et al. McSimA+: A manycore simulator with application-level+ simulation and detailed microarchitecture modeling , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[35] Hyeontaek Lim,et al. MICA: A Holistic Approach to Fast In-Memory Key-Value Storage , 2014, NSDI.
[36] Herbert Bos,et al. Flip Feng Shui: Hammering a Needle in the Software Stack , 2016, USENIX Security Symposium.