Crosstalk Analysis of Current-Mode Signalling-Coupled RLC Interconnects Using FDTD Technique

ABSTRACT In nanometre regimes, interconnect crosstalk noise has serious implications as it affects the signal integrity of the system. An accurate analysis of crosstalk effects is very essential and a critical issue. This paper efficiently models and analyses the crosstalk effects in current-mode signalling (CMS) multiline-coupled-distributed resistance-inductance-capacitance (RLC) interconnects. The interconnects are driven by complementary metal-oxide-semiconductor (CMOS) gates. The non-linear behaviour of metal-oxide-semiconductor (MOS) transistors in CMOS gate is characterized by an nth power law model. Both inductive and capacitive couplings have been considered to incorporate coupling effects in interconnects. The model is formulated using a finite-difference time-domain (FDTD) technique. The functional and dynamic crosstalk effects have been analysed for different interconnect lengths and varying transition time for the first time in CMS interconnects. The efficacy of CMS interconnects is evaluated by comparison with the conventional voltage-mode signalling (VMS) interconnects. It is analysed that CMS interconnects have lesser crosstalk-induced delay than VMS interconnects. Also, normalized undershoot voltage in CMS interconnects is lesser as compared to VMS signalling interconnects. The results are validated using simulation program with integrated circuit emphasis simulations. The analyses have been carried out for 32 nm technology node.

[1]  Rohit Dhiman,et al.  Compact Models and Performance Investigations for Subthreshold Interconnects , 2014 .

[2]  Wentai Liu,et al.  Current-mode signaling in deep submicrometer global interconnects , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[3]  Brajesh Kumar Kaushik,et al.  Crosstalk analysis of simultaneously switching interconnects , 2009 .

[4]  Soyoung Kim,et al.  Modeling and screening on-chip interconnect inductance , 2004 .

[5]  Xiao-Chun Li,et al.  Transient Analysis of CMOS-Gate-Driven $RLGC$ Interconnects Based on FDTD , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[7]  Ashok Srivastava,et al.  A Thermal Model for Carbon Nanotube Interconnects , 2013, Nanomaterials.

[9]  Yehea I. Ismail,et al.  Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[10]  Wai-Kai Chen,et al.  The VLSI Handbook , 2000 .

[11]  Maryam Shojaei Baghini,et al.  A Variation Tolerant Current-Mode Signaling Scheme for On-Chip Interconnects , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Pradip Mandal,et al.  High-Speed Low-Current Duobinary Signaling Over Active Terminated Chip-to-Chip Interconnect , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[13]  Kenichi Okada,et al.  LVDS-type on-chip transmision line interconnect with passive equalizers in 90nm CMOS process , 2008, 2008 Asia and South Pacific Design Automation Conference.

[14]  Brajesh Kumar Kaushik,et al.  An accurate model for dynamic crosstalk analysis of CMOS gate driven on-chip interconnects using FDTD method , 2014, Microelectron. J..

[15]  Yash Agrawal,et al.  Design and analysis of efficient multilevel receiver for current mode interconnect system , 2014, 2014 IEEE Students' Conference on Electrical, Electronics and Computer Science.

[16]  James D. Meindl,et al.  Compact distributed RLC interconnect models-Part II: Coupled line transient expressions and peak crosstalk in multilevel networks , 2000 .

[17]  Masanori Hashimoto,et al.  Crosstalk noise estimation for generic RC trees , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[18]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[19]  J. V. R. Ravindra,et al.  Modeling and analysis of crosstalk for distributed RLC interconnects using difference model approach , 2007, SBCCI '07.

[20]  Yusuf Leblebici,et al.  Modelling and implementation of twisted differential on-chip interconnects for crosstalk noise reduction , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[21]  Fei Yuan,et al.  CMOS Current-Mode Circuits for Data Communications (Analog Circuits and Signal Processing) , 2006 .

[22]  Ronald A. Rohrer,et al.  Interconnect simulation with asymptotic waveform evaluation (AWE) , 1992 .

[23]  C. Paul Incorporation of terminal constraints in the FDTD analysis of transmission lines , 1994 .

[24]  David Blaauw,et al.  Modeling and analysis of crosstalk noise in coupled RLC interconnects , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Pradip Mandal,et al.  Current-mode full-duplex (CMFD) signaling for high-speed chip-to-chip interconnect , 2011, Microelectron. J..

[26]  J.A. Davis,et al.  Analysis and optimization of coplanar RLC lines for GSI global interconnection , 2004, IEEE Transactions on Electron Devices.

[27]  Jun-Fa Mao,et al.  Analysis of the time response of nonuniform multiconductor transmission lines with a method of equivalent cascaded network chain , 1992 .

[28]  Rakesh Malik,et al.  Signal Integrity and Power Integrity Issues at System Level , 2012 .

[29]  Eisse Mensink,et al.  Optimal Positions of Twists in Global On-Chip Differential Interconnects , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[30]  S. Palermo Invited tutorial: Channel equalization: Techniques for high-speed electrical links , 2013, 2013 IEEE Workshop on Microelectronics and Electron Devices (WMED).

[31]  Ramalingam Sridhar,et al.  A low-swing differential signalling scheme for on-chip global interconnects , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[32]  Xiaoning Ye,et al.  Design Optimization for Minimal Crosstalk in Differential Interconnect , 2012 .

[33]  Nasser Masoumi,et al.  A new and efficient approach for estimating the accurate time-domain response of single and capacitive coupled distributed RC interconnects , 2009, Microelectron. J..

[34]  Takayasu Sakurai,et al.  A simple MOSFET model for circuit analysis , 1991 .

[35]  Larry Pileggi,et al.  Modeling lossy transmission lines using the method of characteristics , 1996 .

[36]  Ramy E. Aly,et al.  A Family of 32 nm IA Processors , 2011, IEEE Journal of Solid-State Circuits.

[37]  Francesc Moll,et al.  Interconnection noise in VLSI circuits , 2003 .

[38]  C. Paul,et al.  Finite-difference, time-domain analysis of lossy transmission lines , 1996 .

[39]  Eby G. Friedman,et al.  Lumped versus distributed RC and RLC interconnect impedances , 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

[40]  G. Patounakis,et al.  Pulsed current-mode signaling for nearly speed-of-light intrachip communication , 2006, IEEE Journal of Solid-State Circuits.

[41]  Nor Muzlifah Mahyuddin,et al.  Design and analysis of a low-swing driver scheme for long interconnects , 2011, Microelectron. J..

[42]  Ramachandra Achar,et al.  Simulation of high-speed interconnects , 2001, Proc. IEEE.

[43]  Hui Wang,et al.  Equalization techniques for high-speed serial interconnect transceivers , 2008, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology.

[44]  A. K. Mal,et al.  An explicit approach for bandwidth evaluation of on-chip VLSI RC interconnects with current mode signaling technique , 2010, 2010 Second International conference on Computing, Communication and Networking Technologies.

[45]  Yao-Wen Chang,et al.  Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[46]  Wayne Wei-Ming Dai,et al.  Optimal design of self-damped lossy transmission lines for multichip modules , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[47]  K. Banerjee,et al.  Accurate analysis of on-chip inductance effects and implications for optimal repeater insertion and technology scaling , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[48]  Chandramouli V. Kashyap,et al.  RC delay metrics for performance optimization , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[49]  Equalization in high-speed communication systems , 2004, IEEE Circuits and Systems Magazine.

[50]  M.A. Elgamel,et al.  Interconnect noise analysis and optimization in deep submicron technology , 2003, IEEE Circuits and Systems Magazine.

[51]  Li,et al.  Crosstalk Noise Voltage of Coupling RC Interconnects with Temperature Distribution , 2010 .

[52]  K. Khare,et al.  Accurate crosstalk analysis for RLCG on-chip VLSI global interconnect , 2013, 2013 IEEE CONFERENCE ON INFORMATION AND COMMUNICATION TECHNOLOGIES.

[53]  Jin Liu,et al.  Equalization in high-speed communication systems , 2004 .

[54]  Rajeevan Chandel,et al.  An analysis of interconnect delay minimization by low-voltage repeater insertion , 2007, Microelectron. J..

[55]  Brajesh Kumar Kaushik,et al.  An Accurate FDTD Model for Crosstalk Analysis of CMOS-Gate-Driven Coupled RLC Interconnects , 2014, IEEE Transactions on Electromagnetic Compatibility.

[56]  Emre Salman,et al.  High Performance Integrated Circuit Design , 2012 .

[57]  Jun-Fa Mao,et al.  Transient analysis of lossy interconnects by modified method of characteristics , 2000 .

[58]  Kamakoti Veezhinathan,et al.  Network-on-Chips on 3-D ICs: Past, Present, and Future , 2012 .