Energy-aware task mapping onto heterogeneous platforms using DVFS and sleep states

Heterogeneous multicore platforms are becoming an interesting alternative for embedded computing systems with limited power supply as they can execute specific tasks in an efficient manner. Nonetheless, one of the main challenges of such platforms consists of optimising the energy consumption in the presence of temporal constraints. This paper addresses the problem of task-to-core allocation onto heterogeneous multicore platforms such that the overall energy consumption of the system is minimised. To this end, we propose a two-phase approach that considers both dynamic and leakage energy consumption: (i) the first phase allocates tasks to the cores such that the dynamic energy consumption is reduced; (ii) the second phase refines the allocation performed in the first phase in order to achieve better sleep states by trading off the dynamic energy consumption with the reduction in leakage energy consumption. This hybrid approach considers core frequency set-points, tasks energy consumption and sleep states of the cores to reduce the energy consumption of the system. Major value has been placed on a realistic power model which increases the practical relevance of the proposed approach. Finally, extensive simulations have been carried out to demonstrate the effectiveness of the proposed algorithm. In the best-case, savings up to $$18\,\%$$18% of energy are reached over the first fit algorithm, which has shown, in previous works, to perform better than other bin-packing heuristics for the target heterogeneous multicore platform.

[1]  Tai-Yi Huang,et al.  A Near-optimal Solution for the Heterogeneous Multi-processor Single-level Voltage Setup Problem , 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

[2]  Niraj K. Jha,et al.  Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[3]  Gernot Heiser,et al.  Mobile multicores: use them or waste them , 2014, ACM SIGOPS Oper. Syst. Rev..

[4]  Stefan M. Petters,et al.  SPARTS: Simulator for Power Aware and Real-Time Systems , 2011, 2011IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications.

[5]  Stefan M. Petters,et al.  Energy-aware partitioning of tasks onto a heterogeneous multi-core platform , 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).

[6]  Rajesh K. Gupta,et al.  Dynamic slack reclamation with procrastination scheduling in real-time embedded systems , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[7]  Stefan M. Petters,et al.  Comparing the Schedulers and Power Saving Strategies with SPARTS , 2011 .

[8]  Tei-Wei Kuo,et al.  Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[9]  Chin-Fu Kuo,et al.  Energy-Efficient Scheduling for Real-Time Systems on Dynamic Voltage Scaling (DVS) Platforms , 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).

[10]  Rajesh K. Gupta,et al.  Leakage aware dynamic voltage scaling for real-time embedded systems , 2004, Proceedings. 41st Design Automation Conference, 2004..

[11]  Tei-Wei Kuo,et al.  An approximation scheme for energy-efficient scheduling of real-time tasks in heterogeneous multiprocessor systems , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[12]  Arunachalam Annamalai,et al.  A Dynamic Reconfiguration Framework to Maximize Performance/Power in Asymmetric Multicore Processors , 2013 .

[13]  Lothar Thiele,et al.  Energy minimization for periodic real-time tasks on heterogeneous processing units , 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

[14]  Tei-Wei Kuo,et al.  Energy-Efficient Real-Time Task Scheduling for a DVS System with a Non-DVS Processing Element , 2006, 2006 27th IEEE International Real-Time Systems Symposium (RTSS'06).

[15]  Yann-Hang Lee,et al.  Scheduling techniques for reducing leakage power in hard real-time systems , 2003, 15th Euromicro Conference on Real-Time Systems, 2003. Proceedings..

[16]  Qi Yang,et al.  Energy-aware partitioning for multiprocessor real-time systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[17]  David C. Snowdon,et al.  Koala: a platform for OS-level power management , 2009, EuroSys '09.

[18]  Sanjoy K. Baruah,et al.  Algorithms and complexity concerning the preemptive scheduling of periodic, real-time tasks on one processor , 1990, Real-Time Systems.

[19]  Patrick Meumeu Yomsi,et al.  Optimal procrastination interval for constrained deadline sporadic tasks upon uniprocessors , 2013, RTNS '13.

[20]  Elisa Bertino,et al.  PARALLEL AND DISTRIBUTED SYSTEMS , 2010 .

[21]  George B. Dantzig,et al.  Linear Programming 1: Introduction , 1997 .

[22]  Henk J. Sips,et al.  Parallel and Distributed Systems Report Series Particle Filters on Multi-Core Processors , 2012 .

[23]  Tei-Wei Kuo,et al.  An approximation algorithm for energy-efficient scheduling on a chip multiprocessor , 2005, Design, Automation and Test in Europe.

[24]  Viktor K. Prasanna,et al.  Power-aware resource allocation for independent tasks in heterogeneous real-time systems , 2002, Ninth International Conference on Parallel and Distributed Systems, 2002. Proceedings..

[25]  Sathish Gopalakrishnan,et al.  Energy efficient task partitioning and real-time scheduling on heterogeneous multiprocessor platforms with QoS requirements , 2011, Sustain. Comput. Informatics Syst..

[26]  Ragunathan Rajkumar,et al.  Energy-Aware Partitioned Fixed-Priority Scheduling for Chip Multi-processors , 2011, 2011 IEEE 17th International Conference on Embedded and Real-Time Computing Systems and Applications.

[27]  Tei-Wei Kuo,et al.  Multiprocessor Synthesis for Periodic Hard Real-Time Tasks under a Given Energy Constraint , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[28]  Stefan M. Petters,et al.  Enhanced Race-To-Halt: A Leakage-Aware Energy Management Approach for Dynamic Priority Systems , 2011, 2011 23rd Euromicro Conference on Real-Time Systems.

[29]  Lothar Thiele,et al.  Energy-Efficient Task Partition for Periodic Real-Time Tasks on Platforms with Dual Processing Elements , 2008, 2008 14th IEEE International Conference on Parallel and Distributed Systems.

[30]  Steve Goddard,et al.  Integrated Device Scheduling and Processor Voltage Scaling for System-wide Energy Conservation , 2005 .

[31]  Xiao Zhang,et al.  An Evaluation of Per-Chip Nonuniform Frequency Scaling on Multicores , 2010, USENIX Annual Technical Conference.