Low power in-memory computing based on dual-mode SOT-MRAM
暂无分享,去创建一个
[1] Yiran Chen,et al. Giant spin hall effect (GSHE) logic design for low power application , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[2] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[3] William Stallings,et al. THE ADVANCED ENCRYPTION STANDARD , 2002, Cryptologia.
[4] H. Ohno,et al. 10 nmf perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction with over 400°C high thermal tolerance by boron diffusion control , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).
[5] B. Hoefflinger. ITRS: The International Technology Roadmap for Semiconductors , 2011 .
[6] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[7] A. W. Rushforth,et al. Origin of in-plane uniaxial magnetic anisotropy in CoFeB amorphous ferromagnetic thin films , 2011, 1106.0606.
[8] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] Xuanyao Fong,et al. KNACK: A hybrid spin-charge mixed-mode simulator for evaluating different genres of spin-transfer torque MRAM bit-cells , 2011, 2011 International Conference on Simulation of Semiconductor Processes and Devices.
[10] Chip-Hong Chang,et al. DW-AES: A Domain-Wall Nanowire-Based AES for High Throughput and Energy-Efficient Data Encryption in Non-Volatile Memory , 2016, IEEE Transactions on Information Forensics and Security.
[11] Tao Zhang,et al. PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[12] Kaushik Roy,et al. Write-optimized reliable design of STT MRAM , 2012, ISLPED '12.
[13] G Autès,et al. Strong enhancement of the tunneling magnetoresistance by electron filtering in an Fe/MgO/Fe/GaAs(001) junction. , 2010, Physical review letters.
[14] Mehdi Baradaran Tahoori,et al. Architectural aspects in design and analysis of SOT-based memories , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
[15] G. Huang,et al. An Energy-Efficient Nonvolatile In-Memory Computing Architecture for Extreme Learning Machine by Domain-Wall Nanowire Devices , 2015, IEEE Transactions on Nanotechnology.
[16] Mehdi Baradaran Tahoori,et al. Ultra-Fast and High-Reliability SOT-MRAM: From Cache Replacement to Normally-Off Computing , 2016, IEEE Transactions on Multi-Scale Computing Systems.
[17] Kaushik Roy,et al. Spin-Transfer Torque Memories: Devices, Circuits, and Systems , 2016, Proceedings of the IEEE.
[18] D. Ralph,et al. Spin transfer torque devices utilizing the giant spin Hall effect of tungsten , 2012, 1208.1711.
[19] Z. Abid,et al. Efficient CMOL Gate Designs for Cryptography Applications , 2009, IEEE Transactions on Nanotechnology.
[20] Kaushik Roy,et al. High Performance and Energy-Efficient On-Chip Cache Using Dual Port (1R/1W) Spin-Orbit Torque MRAM , 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[21] Kaushik Roy,et al. Spin-Transfer Torque Devices for Logic and Memory: Prospects and Perspectives , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[22] Hai Li,et al. STT-RAM designs supporting dual-port accesses , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[23] B. Baas,et al. Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm , 2012 .
[24] Dmitri E. Nikonov,et al. Energy-delay performance of giant spin Hall effect switching for dense magnetic memory , 2013, 1301.5374.
[25] Jun Wang,et al. Energy-Aware Adaptive Restore Schemes for MLC STT-RAM Cache , 2017, IEEE Transactions on Computers.
[26] Deliang Fan,et al. A Low Power Current-Mode Flash ADC with Spin Hall Effect based Multi-Threshold Comparator , 2016, ISLPED.
[27] Sanu Mathew,et al. 340 mV–1.1 V, 289 Gbps/W, 2090-Gate NanoAES Hardware Accelerator With Area-Optimized Encrypt/Decrypt GF(2 4 ) 2 Polynomials in 22 nm Tri-Gate CMOS , 2015, IEEE Journal of Solid-State Circuits.
[28] Guillaume Prenat,et al. Beyond STT-MRAM, Spin Orbit Torque RAM SOT-MRAM for High Speed and High Reliability Applications , 2015 .