Reliability Modeling and Mitigation for Embedded Memories

Complementary Metallic Oxide Semiconductor (CMOS) technology scaling enhances the performance, transistor density, functionality, and reduces cost and power consumption. However, scaling causes significant reliability challenges both from a manufacturing and operational point of view. Obtaining reliable memories require accurate understanding of the impact of aging (such as Bias temperature instability (BTI)) on individual memory components and how they interact with each other. In this dissertation, two types of challenges are addressed, which are related to BTI aging and partially to mitigation schemes: one related to the aging of sense amplifier and another one to the aging of read path and write path. Analysis of aging impact on different memory sense amplifiers - The analysis of BTI impact on various memory sense amplifier (SA) designs was performed, while taking into account two BTI models (i.e., Atomistic and RD model), different technology nodes (i.e., 90, 65, 45, 32, 22, and 16 nm), and different workloads. First, the analysis and comparison of RD and Atomistic models impact on the SA were performed. The results show that the atomistic trap-based BTI model is more accurate than the RD model. Second, the investigation of BTI impact on the drain-input latch type SA for various technology nodes and supply voltages was performed. The result shows that as technology scales down, the impact of BTI on sensing delay increases, while the sensing voltage decreases, causing less robust and reliable memory sense amplifier. The result also shows that increase in supply voltage compensates the BTI degradation. Third, an accurate technique was proposed and characterized for the integral impact of BTI and voltage temperature variation on the memory standard latch type SA for various technology nodes and workloads. The results show that the degradation is strongly dependent on workload and temperature. Fourth, in addition to the latter, the impact of process variation at timezero was incorporated and analyzed. The results show that the SA sensing delay degradation is more significant at lower nodes and could lead to read failures at lower power supply. This reveals that there must be a tradeoff between performance and reliability. Fifth, an accurate methodology was proposed to quantify the impact of variability on the memory SA offset-voltage for both time-zero and time-dependent variability. The results show that the impact on the offset voltage specification is significant for aging time-dependent variability. Sixth, on top of the latter, the sensitivity of the SA and its failure rate were analyzed for five process corners (i.e., Nominal, Fast-Fast, Fast-Slow, Slow-Fast, and Slow-Slow). The results show that balanced workloads result in a significant low offset voltage specification. Finally, the impact of aging was analyzed and compared, while considering different supply voltages, temperatures, and SA designs. The results show that the High Performance SA degrades faster than other SA types, irrespective of the workload, supply voltage, and temperature. Investigation of read path aging - Adequate techniques was proposed to estimate and mitigate the impact of aging on the read path of a high performance SRAMmemory. The mitigation techniques are based on the re-sizing of the pull-down transistors of the cell’s and the SA’s designs. The results show that the SA mitigation is more effective for the SRAM read path (i.e., SA) than cell mitigation. Investigation of write path aging - The analysis of BTI impact on the SRAM write driver was performed for various supply voltages, temperatures, and technology nodes. The result shows that the impact of BTI increases the write delay and widen its distribution, when the technology scales down.

[1]  Siegfried Selberherr,et al.  Physically based models of electromigration: From Black's equation to modern TCAD models , 2010, Microelectron. Reliab..

[2]  G. Groeseneken,et al.  From mean values to distributions of BTI lifetime of deeply scaled FETs through atomistic understanding of the degradation , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[3]  F. Nouri,et al.  On the dispersive versus arrhenius temperature activation of nbti time evolution in plasma nitrided gate oxides: measurements, theory, and implications , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[4]  A.T. Krishnan,et al.  Analytic Extension of the Cell-Based Oxide Breakdown Model to Full Percolation and its Implications , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[5]  Mehdi Baradaran Tahoori,et al.  Aging-aware logic synthesis , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Sachin S. Sapatnekar,et al.  Adaptive Techniques for Overcoming Performance Degradation Due to Aging in CMOS Circuits , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  S. John,et al.  NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.

[8]  J. Tschanz,et al.  Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance , 2009, 2009 Symposium on VLSI Circuits.

[9]  N. Collaert,et al.  Disorder-controlled-kinetics model for negative bias temperature instability and its experimental verification , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[10]  A. Oates,et al.  Electromigration failure distribution of contacts and vias as a function of stress conditions in submicron IC metallizations , 1996, Proceedings of International Reliability Physics Symposium.

[11]  Andrew R. Brown,et al.  Impact of NBTI/PBTI on SRAM Stability Degradation , 2011, IEEE Electron Device Letters.

[12]  Xiaojun Li,et al.  Electronic circuit reliability modeling , 2006, Microelectron. Reliab..

[13]  Stefan Cosemans,et al.  Variability-Aware Design of Low Power SRAM Memories (Variabiliteitsbewust ontwerp van SRAM geheugens met een zeer laag energieverbruik) , 2009 .

[14]  Sachin S. Sapatnekar,et al.  Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[15]  Josep Torrellas,et al.  The BubbleWrap many-core: Popping cores for sequential acceleration , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[16]  Fen Chen,et al.  Addressing Cu/Low-$k$ Dielectric TDDB-Reliability Challenges for Advanced CMOS Technologies , 2009, IEEE Transactions on Electron Devices.

[17]  T. DeMassa,et al.  Threshold voltage variations with temperature in MOS transistors , 1971 .

[18]  Said Hamdioui Testing Static Random Access Memories: Defects, Fault Models and Test Patterns , 2004 .

[19]  Kewal K. Saluja,et al.  Combating NBTI Degradation via Gate Sizing , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[20]  D. Frohman-Bentchkowsky A fully decoded 2048-bit electrically programmable FAMOS read-only memory , 1971 .

[21]  Christopher J. Wilson,et al.  Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper , 2011 .

[22]  C.H. Kim,et al.  An Analytical Model for Negative Bias Temperature Instability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[23]  Kaushik Roy,et al.  Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[24]  Sanghamitra Roy,et al.  Mitigating NBTI in the physical register file through stress prediction , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

[25]  E. Mintarno,et al.  Workload dependent NBTI and PBTI analysis for a sub-45nm commercial microprocessor , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[26]  M. Choe,et al.  Technology scaling on High-K & Metal-Gate FinFET BTI reliability , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[27]  N. Horiguchi,et al.  Response of a single trap to AC negative Bias Temperature stress , 2011, 2011 International Reliability Physics Symposium.

[28]  Francky Catthoor,et al.  Integral impact of BTI and voltage temperature variation on SRAM sense amplifier , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).

[29]  David Harris,et al.  CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .

[30]  B.C. Paul,et al.  Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.

[31]  Muhammad Ashraful Alam,et al.  A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..

[32]  Ching-Te Chuang,et al.  Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability , 2009, Microelectron. Reliab..

[33]  K. Jeppson,et al.  Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices , 1977 .

[34]  K.C. Huang,et al.  Prediction and Control of NBTI -- Induced SRAM Vccmin Drift , 2006, 2006 International Electron Devices Meeting.

[35]  Said Hamdioui,et al.  Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[36]  Francky Catthoor,et al.  Comparison of Reaction-Diffusion and Atomistic Trap-Based BTI Models for Logic Gates , 2014, IEEE Transactions on Device and Materials Reliability.

[37]  Shekhar Y. Borkar,et al.  Microarchitecture and Design Challenges for Gigascale Integration , 2004, MICRO.

[38]  P. Flatresse,et al.  A predictive bottom-up hierarchical approach to digital system reliability , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[39]  S. De Gendt,et al.  Detrimental impact of hydrogen on negative bias temperature instabilities in HfO/sub 2/-based pMOSFETs , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[40]  L. Colombo,et al.  Characterization and comparison of the charge trapping in HfSiON and HfO/sub 2/ gate dielectrics , 2003, IEEE International Electron Devices Meeting 2003.

[41]  Ronald F. DeMara,et al.  Applicability of power-gating strategies for aging mitigation of CMOS logic paths , 2014, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).

[42]  Francky Catthoor,et al.  Impact of partial resistive defects and Bias Temperature Instability on SRAM decoder reliablity , 2013, 2013 8th IEEE Design and Test Symposium.

[43]  R. Degraeve,et al.  Insight Into N/PBTI Mechanisms in Sub-1-nm-EOT Devices , 2012, IEEE Transactions on Electron Devices.

[44]  H. Duan,et al.  Resolution limits of electron-beam lithography toward the atomic scale. , 2013, Nano letters (Print).

[45]  J. McPherson,et al.  Modeling of Interconnect Dielectric Lifetime Under Stress Conditions and New Extrapolation Methodologies for Time-Dependent Dielectric Breakdown , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[46]  Mehdi Baradaran Tahoori,et al.  Aging-Aware Design of Microprocessor Instruction Pipelines , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[47]  A. R. Newton,et al.  Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .

[48]  Francky Catthoor,et al.  Impact of time-dependent variability on the yield and performance of 6T SRAM cells in an advanced HK/MG technology , 2015, 2015 International Conference on IC Design & Technology (ICICDT).

[49]  M. Ketchen,et al.  Ring Oscillator Based Test Structure for NBTI Analysis , 2007, 2007 IEEE International Conference on Microelectronic Test Structures.

[50]  A. Oates,et al.  Electromigration mechanisms in Cu nano-wires , 2010, 2010 IEEE International Reliability Physics Symposium.

[51]  Mark Mohammad Tehranipoor,et al.  Efficient selection and analysis of critical-reliability paths and gates , 2012, GLSVLSI '12.

[52]  M. Fischetti,et al.  Charge trapping in high k gate dielectric stacks , 2002, Digest. International Electron Devices Meeting,.

[53]  A. S. Grove,et al.  Characteristics of the Surface‐State Charge (Qss) of Thermally Oxidized Silicon , 1967 .

[54]  Rudy Lauwereins,et al.  BTI reliability from planar to FinFET nodes: Will the next node be more or less reliable? , 2014 .

[55]  John M. Carulli,et al.  Impact of negative bias temperature instability on product parametric drift , 2004, 2004 International Conferce on Test.

[56]  Guido Groeseneken,et al.  New insights in the relation between electron trap generation and the statistical properties of oxide breakdown , 1998 .

[57]  Liesbet Van der Perre,et al.  Degradation analysis of datapath logic subblocks under NBTI aging in FinFET technology , 2014, Fifteenth International Symposium on Quality Electronic Design.

[58]  P. Hazucha,et al.  Impact of CMOS technology scaling on the atmospheric neutron soft error rate , 2000 .

[59]  Francky Catthoor,et al.  Scaling of BTI reliability in presence of time-zero variability , 2014, 2014 IEEE International Reliability Physics Symposium.

[60]  Stephen P. Boyd,et al.  Optimized self-tuning for circuit aging , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[61]  Hyun-Woo Kim,et al.  Experimental investigation of the impact of LWR on sub-100-nm device performance , 2004, IEEE Transactions on Electron Devices.

[62]  M. Denais,et al.  NBTI degradation: From physical mechanisms to modelling , 2006, Microelectron. Reliab..

[63]  Mehdi Baradaran Tahoori,et al.  Extending standard cell library for aging mitigation , 2015, IET Comput. Digit. Tech..

[64]  Mehdi Baradaran Tahoori,et al.  Reducing wearout in embedded processors using proactive fine-grain dynamic runtime adaptation , 2012, 2012 17th IEEE European Test Symposium (ETS).

[65]  Peter Hazucha,et al.  Characterization of soft errors caused by single event upsets in CMOS processes , 2004, IEEE Transactions on Dependable and Secure Computing.

[66]  Yu Cao,et al.  The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[67]  Francky Catthoor,et al.  Read path degradation analysis in SRAM , 2016, 2016 21th IEEE European Test Symposium (ETS).

[68]  K. Yamaguchi,et al.  The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).

[69]  Francky Catthoor,et al.  Degradation analysis of high performance 14nm FinFET SRAM , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[70]  G. Dewey,et al.  BTI reliability of 45 nm high-K + metal-gate process technology , 2008, 2008 IEEE International Reliability Physics Symposium.

[71]  Michael Nicolaidis,et al.  Reliability challenges of real-time systems in forthcoming technology nodes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[72]  Mehdi Baradaran Tahoori,et al.  ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).

[73]  Kevin Skadron,et al.  Interconnect Lifetime Prediction for Reliability-Aware Systems , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[74]  M.A. Alam,et al.  A critical examination of the mechanics of dynamic NBTI for PMOSFETs , 2003, IEEE International Electron Devices Meeting 2003.

[75]  Enrico Macii,et al.  NBTI-Aware Clustered Power Gating , 2010, TODE.

[76]  C.H. Yu,et al.  Time Dependent Vccmin Degradation of SRAM Fabricated with High-k Gate Dielectrics , 2007, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual.

[77]  E. Rosenbaum,et al.  On the mechanism for interface trap generation in MOS transistors due to channel hot carrier stressing , 2000, IEEE Electron Device Letters.

[78]  T. Wong Time Dependent Dielectric Breakdown in Copper Low-k Interconnects: Mechanisms and Reliability Models , 2012, Materials.

[79]  Hamid Mahmoodi,et al.  Comparative BTI reliability analysis of SRAM cell designs in nano-scale CMOS technology , 2011, 2011 12th International Symposium on Quality Electronic Design.

[80]  Aristos Christou,et al.  Failure mechanism models for electromigration , 1994 .

[81]  D. Schroder,et al.  Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing , 2003 .

[82]  S. Mahapatra,et al.  On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress , 2006, IEEE Transactions on Electron Devices.

[83]  M. Nelhiebel,et al.  The Paradigm Shift in Understanding the Bias Temperature Instability: From Reaction–Diffusion to Switching Oxide Traps , 2011, IEEE Transactions on Electron Devices.

[84]  Kaushik Roy,et al.  Efficient Transistor-Level Sizing Technique under Temporal Performance Degradation due to NBTI , 2006, 2006 International Conference on Computer Design.

[85]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[86]  A. Asenov,et al.  Statistical variability study of a 10nm gate length SOI FinFET device , 2012, 2012 IEEE Silicon Nanoelectronics Workshop (SNW).

[87]  Yu Cao,et al.  Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[88]  Sachin S. Sapatnekar,et al.  Employing circadian rhythms to enhance power and reliability , 2013, TODE.

[89]  Souvik Mahapatra,et al.  Negative bias temperature instability in CMOS devices , 2005 .

[90]  A. S. Oates,et al.  Technology Scaling Effect on the Relative Impact of NBTI and Process Variation on the Reliability of Digital Circuits , 2012, IEEE Transactions on Device and Materials Reliability.

[91]  Said Hamdioui,et al.  BTI impact on SRAM sense amplifier , 2013, 2013 8th IEEE Design and Test Symposium.

[92]  Robert Sinclair,et al.  Atomic-Order Planarization of Ultrathin SiO2/Si(001) Interfaces , 1994 .

[93]  Said Hamdioui,et al.  Modeling and mitigating NBTI in nanoscale circuits , 2011, 2011 IEEE 17th International On-Line Testing Symposium.

[94]  A. Asenov,et al.  Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations , 2002 .

[95]  Sachin S. Sapatnekar,et al.  Overcoming Variations in Nanometer-Scale Technologies , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[96]  Hamid Mahmoodi,et al.  Impact of transistor aging effects on sense amplifier reliability in nano-scale CMOS , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[97]  Changhwan Shin,et al.  Variation-Aware Advanced CMOS Devices and SRAM , 2016 .

[98]  Francky Catthoor,et al.  Bias Temperature Instability analysis of FinFET based SRAM cells , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[99]  Barry P. Linder,et al.  Reliability monitoring ring oscillator structures for isolated/combined NBTI and PBTI measurement in high-k metal gate technologies , 2011, 2011 International Reliability Physics Symposium.

[100]  Karen Maex,et al.  Porous low dielectric constant materials for microelectronics , 2006, Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences.

[101]  C. Pacha,et al.  Highly accurate product-level aging monitoring in 40nm CMOS , 2010, 2010 Symposium on VLSI Technology.

[102]  V. Reddy,et al.  A comprehensive framework for predictive modeling of negative bias temperature instability , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[103]  C. Cabral,et al.  A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[104]  S. Saini,et al.  Polysilicon gate enhancement of the random dopant induced threshold voltage fluctuations in sub-100 nm MOSFETs with ultrathin gate oxide , 2000 .

[105]  Yu Wang,et al.  Assessment of Circuit Optimization Techniques Under NBTI , 2013, IEEE Design & Test.

[106]  Mark Mohammad Tehranipoor,et al.  Representative Critical Reliability Paths for low-cost and accurate on-chip aging evaluation , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[107]  Chih-Chao Yang,et al.  Electromigration challenges for advanced on-chip Cu interconnects , 2014, Microelectron. Reliab..

[108]  Kaushik Roy,et al.  Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[109]  Mehdi Baradaran Tahoori,et al.  Power-Aware Minimum NBTI Vector Selection Using a Linear Programming Approach , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[110]  A. Asenov,et al.  Poly-Si-Gate-Related Variability in Decananometer MOSFETs With Conventional Architecture , 2007, IEEE Transactions on Electron Devices.

[111]  Mehdi B. Tahoori,et al.  Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods , 2017, Integr..

[112]  Andrew R. Brown,et al.  Impact of Metal Gate Granularity on Threshold Voltage Variability: A Full-Scale Three-Dimensional Statistical Simulation Study , 2010, IEEE Electron Device Letters.

[113]  Stephen P. Boyd,et al.  Self-Tuning for Maximized Lifetime Energy-Efficiency in the Presence of Circuit Aging , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[114]  Kaushik Roy,et al.  Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[115]  Francky Catthoor,et al.  Atomistic Pseudo-Transient BTI Simulation With Inherent Workload Memory , 2014, IEEE Transactions on Device and Materials Reliability.

[116]  Puneet Gupta,et al.  BTI-Gater: An Aging-Resilient Clock Gating Methodology , 2014, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[117]  G. Groeseneken,et al.  Time and workload dependent device variability in circuit simulations , 2011, 2011 IEEE International Conference on IC Design & Technology.

[118]  Francky Catthoor,et al.  Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations , 2015, ACM Comput. Surv..

[119]  M. White Microelectronics reliability : physics-of-failure based modeling and lifetime evaluation , 2008 .

[120]  Willi Volksen,et al.  Low dielectric constant materials. , 2010, Chemical reviews.

[121]  R. Baumann Soft errors in advanced semiconductor devices-part I: the three radiation sources , 2001 .

[122]  Antonio Rubio,et al.  Process variability-aware proactive reconfiguration technique for mitigating aging effects in nano scale SRAM lifetime , 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

[123]  I. Blech Electromigration in thin aluminum films on titanium nitride , 1976 .

[124]  Francky Catthoor,et al.  Classification of Resilience Techniques Against Functional Errors at Higher Abstraction Layers of Digital Systems , 2017, ACM Comput. Surv..

[125]  P. Hazucha,et al.  Cosmic-ray soft error rate characterization of a standard 0.6-/spl mu/m CMOS process , 2000, IEEE Journal of Solid-State Circuits.

[126]  Ilia Polian,et al.  Analyzing the effects of peripheral circuit aging of embedded SRAM architectures , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[127]  Tony Tae-Hyoung Kim,et al.  Impact Analysis of NBTI/PBTI on SRAM V MIN and Design Techniques for Improved SRAM V MIN , 2013 .

[128]  Francky Catthoor,et al.  Comparative BTI analysis for various sense amplifier designs , 2016, 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

[129]  C.V. Thompson Using line-length effects to optimize circuit-level reliability , 2008, 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits.

[130]  Mehdi Baradaran Tahoori,et al.  Negative Bias Temperature Instability-Aware Instruction Scheduling: A Cross-Layer Approach , 2013, J. Low Power Electron..

[131]  Francky Catthoor,et al.  Quantification of Sense Amplifier Offset Voltage Degradation due to Zero-and Run-Time Variability , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[132]  A. Carlson Mechanism of Increase in SRAM $V_{\min}$ Due to Negative-Bias Temperature Instability , 2007, IEEE Transactions on Device and Materials Reliability.

[133]  Kaushik Roy,et al.  Reliability Implications of Bias-Temperature Instability in Digital ICs , 2009, IEEE Design & Test of Computers.

[134]  Fan Zhang,et al.  Evidence of ultra-low-k dielectric material degradation and nanostructure alteration of the Cu/ultra-low-k interconnects in time-dependent dielectric breakdown failure , 2013 .

[135]  Lide Zhang,et al.  Scheduled voltage scaling for increasing lifetime in the presence of NBTI , 2009, 2009 Asia and South Pacific Design Automation Conference.

[136]  Takahiro Matsuo,et al.  Reduction of line edge roughness in the top surface imaging process , 1998 .

[137]  S. Demuynck,et al.  AC NBTI studied in the 1 Hz -- 2 GHz range on dedicated on-chip CMOS circuits , 2006, 2006 International Electron Devices Meeting.

[138]  Investigation of Silicon-Silicon Dioxide Interface Using MOS Structure , 1966 .

[139]  Petru Andrei,et al.  Quantum mechanical effects on random oxide thickness and random doping induced fluctuations in ultrasmall semiconductor devices , 2003 .

[140]  J. Stathis Physical and predictive models of ultrathin oxide reliability in CMOS devices and circuits , 2001 .

[141]  T. Grasser,et al.  Defect-based methodology for workload-dependent circuit lifetime projections - Application to SRAM , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[142]  C. Chuang,et al.  FinFET SRAM Cell Optimization Considering Temporal Variability Due to NBTI/PBTI, Surface Orientation and Various Gate Dielectrics , 2011, IEEE Transactions on Electron Devices.

[143]  Xiaojun Li,et al.  Compact Modeling of MOSFET Wearout Mechanisms for Circuit-Reliability Simulation , 2008, IEEE Transactions on Device and Materials Reliability.

[144]  A. Asenov,et al.  Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness , 2003 .

[145]  Francky Catthoor,et al.  Comparative analysis of RD and Atomistic trap-based BTI models on SRAM Sense Amplifier , 2015, 2015 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

[146]  A. Asenov,et al.  Statistical Threshold-Voltage Variability in Scaled Decananometer Bulk HKMG MOSFETs: A Full-Scale 3-D Simulation Scaling Study , 2011, IEEE Transactions on Electron Devices.

[147]  J. Hicks 45nm Transistor Reliability , 2008 .

[148]  Pradip Bose,et al.  Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

[149]  T. Grasser,et al.  Ubiquitous relaxation in BTI stressing—New evaluation and insights , 2008, 2008 IEEE International Reliability Physics Symposium.

[150]  Kaushik Roy,et al.  Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[151]  R. Demara,et al.  Area-energy tradeoffs of logic wear-leveling for BTI-induced aging , 2016, Conf. Computing Frontiers.

[152]  Mehdi Baradaran Tahoori,et al.  Aging-aware standard cell library design , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[153]  Cathryn Christiansen,et al.  Variability challenges to electromigration (EM) lifetime projections , 2014, 2014 IEEE International Reliability Physics Symposium.

[154]  P. Nicollian,et al.  Material dependence of hydrogen diffusion: implications for NBTI degradation , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..