DART: Dependable VLSI test architecture and its implementation

Although many electronic safety-related systems require very high reliability, it is becoming harder and harder to achieve it because of delay-related failures, which are caused by decreased noise margin. This paper describes a technology named DART and its implementation. The DART repeatedly measures the maximum delay of a circuit and the amount of degradation in field, in consequence, confirms the marginality of the circuit. The system employing the DART will be informed the significant reduction of delay margin in advance of a failure and be able to repair it at an appropriate time. The DART also equips a technique to improve the test coverage using the rotating test and a technique to consider the test environment such as temperature or voltage using novel ring-oscillator-based monitors. The authors applied the proposed technology to an industrial design and confirmed its effectiveness and availability with reasonable resources.

[1]  Hideo Fujiwara,et al.  Faster-than-at-speed test for increased test quality and in-field reliability , 2011, 2011 IEEE International Test Conference.

[2]  Yu Cao,et al.  Predictive Modeling of the NBTI Effect for Reliable Design , 2006, IEEE Custom Integrated Circuits Conference 2006.

[3]  Onur Mutlu,et al.  Operating system scheduling for efficient online self-test in robust systems , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[4]  Qing Lin,et al.  Use of BIST in Sun Fire/sup TM/ servers , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[5]  Yervant Zorian,et al.  On-Line Testing for VLSI—A Compendium of Approaches , 1998, J. Electron. Test..

[6]  Kazumi Hatayama,et al.  Low overhead test point insertion for scan-based BIST , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[7]  Snezana Dikic,et al.  BIST and fault insertion re-use in telecom systems , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[8]  Hideo Fujiwara,et al.  A Failure Prediction Strategy for Transistor Aging , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Toshiyuki Maeda,et al.  Invisible delay quality - SDQM model lights up what could not be seen , 2005, IEEE International Conference on Test, 2005..

[10]  Robinson Segmented Testing , 1985, IEEE Transactions on Computers.

[11]  Subhasish Mitra,et al.  Gate-Oxide Early Life Failure Prediction , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[12]  Yu Cao,et al.  Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology , 2007, IEEE Transactions on Device and Materials Reliability.

[13]  Don Douglas Josephson,et al.  Debug methodology for the McKinley processor , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[14]  Shianling Wu,et al.  Logic BIST Architecture for System-Level Test and Diagnosis , 2009, 2009 Asian Test Symposium.

[15]  Michinobu Nakao,et al.  A BIST approach for very deep sub-micron (VDSM) defects , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[16]  Sudhakar M. Reddy,et al.  Genetic algorithm based approach for segmented testing , 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems and Networks Workshops (DSN-W).

[17]  R. Bell,et al.  IEC 61508: functional safety of electrical/electronic/ programme electronic safety-related systems: overview , 1999 .

[18]  Hideo Fujiwara,et al.  Temperature-Variation-Aware Test Pattern Optimization , 2011, 2011 Sixteenth IEEE European Test Symposium.

[19]  Yukiya Miura,et al.  On-chip temperature and voltage measurement for field testing , 2012, 2012 17th IEEE European Test Symposium (ETS).

[20]  Hiroaki Inoue,et al.  VAST: Virtualization-Assisted Concurrent Autonomous Self-Test , 2008, 2008 IEEE International Test Conference.

[21]  Sandip Kundu,et al.  On process variation tolerant low cost thermal sensor design in 32nm CMOS technology , 2009, GLSVLSI '09.

[22]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[23]  Yasuo Sato,et al.  At-speed built-in test for logic circuits with multiple clocks , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

[24]  John P. Hayes,et al.  Online BIST for Embedded Systems , 1998, IEEE Des. Test Comput..

[25]  S. Smith,et al.  Functional Safety Of Programmable Electronic Systems , 1990 .

[26]  Hideo Fujiwara,et al.  Test pattern selection to optimize delay test quality with a limited size of test set , 2010, 2010 15th IEEE European Test Symposium.

[27]  Tomokazu Yoneda,et al.  Thermal-uniformity-aware X-filling to reduce temperature-induced delay variation for accurate at-speed testing , 2010, 2010 28th VLSI Test Symposium (VTS).

[28]  Yu Cao,et al.  Physics matters: Statistical aging prediction under trapping/detrapping , 2012, DAC Design Automation Conference 2012.

[29]  Omer Khan,et al.  A self-adaptive system architecture to address transistor aging , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[30]  Kotaro Shimamura,et al.  A Single-Chip Fail-Safe Microprocessor with Memory Data Comparison Feature , 2006, 2006 12th Pacific Rim International Symposium on Dependable Computing (PRDC'06).

[31]  Takeshi Kataoka,et al.  A Cost-Effective Dependable Microcontroller Architecture with Instruction-Level Rollback for Soft Error Recovery , 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).

[32]  Subhasish Mitra,et al.  CASP: Concurrent Autonomous Chip Self-Test Using Stored Test Patterns , 2008, 2008 Design, Automation and Test in Europe.

[33]  Hideo Fujiwara,et al.  Seed Ordering and Selection for High Quality Delay Test , 2010, 2010 19th IEEE Asian Test Symposium.

[34]  Subhasish Mitra,et al.  Overcoming Early-Life Failure and Aging for Robust Systems , 2009, IEEE Design & Test of Computers.

[35]  Ming Zhang,et al.  Combinational Logic Soft Error Correction , 2006, 2006 IEEE International Test Conference.

[36]  Brian L. Smith,et al.  Use of BIST in Sun FireTM servers , 2001 .

[37]  John M. Carulli,et al.  Impact of negative bias temperature instability on product parametric drift , 2004, 2004 International Conferce on Test.

[38]  Nobuyasu Kanekawa,et al.  Dependability in Electronic Systems , 2011 .

[39]  Tomokazu Yoneda,et al.  A circuit failure prediction mechanism (DART) for high field reliability , 2009, 2009 IEEE 8th International Conference on ASIC.

[40]  Kazumi Hatayama,et al.  Application of high-quality built-in test to industrial designs , 2002, Proceedings. International Test Conference.